IEEE Transactions on Circuits and Systems I-Regular Papers

Papers
(The TQCC of IEEE Transactions on Circuits and Systems I-Regular Papers is 10. The table below lists those papers that are above that threshold based on CrossRef citation counts [max. 250 papers]. The publications cover those that have been published in the past four years, i.e., from 2020-04-01 to 2024-04-01.)
ArticleCitations
Adaptive Event-Triggered SMC for Stochastic Switching Systems With Semi-Markov Process and Application to Boost Converter Circuit Model234
Finite-Time Event-Triggered Control for Semi-Markovian Switching Cyber-Physical Systems With FDI Attacks and Applications231
Extended Dissipative Control for Singularly Perturbed PDT Switched Systems and its Application158
Comparison and Extension of Approximate 4-2 Compressors for Low-Power Approximate Multipliers146
Event-Triggered Adaptive Fuzzy Fixed-Time Tracking Control for a Class of Nonstrict-Feedback Nonlinear Systems141
A New Full Chaos Coupled Mapping Lattice and Its Application in Privacy Image Encryption108
Discrete Memristor Hyperchaotic Maps108
A Dynamic Event-Triggered Approach to State Estimation for Switched Memristive Neural Networks With Nonhomogeneous Sojourn Probabilities107
Challenges and Trends of SRAM-Based Computing-In-Memory for AI Edge Devices102
Energy-Constraint Formation for Multiagent Systems With Switching Interaction Topologies96
Dynamic Triggering Mechanisms for Distributed Adaptive Synchronization Control and Its Application to Circuit Systems90
Novel Finite-Time Reliable Control Design for Memristor-Based Inertial Neural Networks With Mixed Time-Varying Delays88
Adaptive Fuzzy Fast Finite-Time Dynamic Surface Tracking Control for Nonlinear Systems82
Asynchronous Event-Triggered Sliding Mode Control for Semi-Markov Jump Systems Within a Finite-Time Interval82
Fixed-Time Fault-Tolerant Formation Control for Heterogeneous Multi-Agent Systems With Parameter Uncertainties and Disturbances82
Adaptive Fuzzy Output Feedback Event-Triggered Control for a Class of Switched Nonlinear Systems With Sensor Failures81
Comprehensive Conception of High Step-Up DC–DC Converters With Coupled Inductor and Voltage Multipliers Techniques79
An Adaptive SOSM Controller Design by Using a Sliding-Mode-Based Filter and its Application to Buck Converter76
Neural Bursting and Synchronization Emulated by Neural Networks and Circuits75
Variability-Aware Modeling of Filamentary Oxide-Based Bipolar Resistive Switching Cells Using SPICE Level Compact Models75
IMAC: In-Memory Multi-Bit Multiplication and ACcumulation in 6T SRAM Array69
Intermittent Dynamic Event-Triggered Control for Synchronization of Stochastic Complex Networks68
Set-Based Obfuscation for Strong PUFs Against Machine Learning Attacks66
A Logic Synthesis Methodology for Low-Power Ternary Logic Circuits66
A Fast and Energy-Efficient SNN Processor With Adaptive Clock/Event-Driven Computation Scheme and Online Learning64
One-Sided Schmitt-Trigger-Based 9T SRAM Cell for Near-Threshold Operation64
VPQC: A Domain-Specific Vector Processor for Post-Quantum Cryptography Based on RISC-V Architecture62
Machine Learning-Based Approach for Hardware Faults Prediction62
Output Feedback Predefined-Time Bipartite Consensus Control for High-Order Nonlinear Multiagent Systems61
Adaptive Practical Optimal Time-Varying Formation Tracking Control for Disturbed High-Order Multi-Agent Systems59
Unified Stability Criteria of Random Nonlinear Time-Varying Impulsive Switched Systems56
Modeling and Control of Islanded DC Microgrid Clusters With Hierarchical Event-Triggered Consensus Algorithm56
High-Density Memristor-CMOS Ternary Logic Family55
Generating Grid Multi-Scroll Attractors in Memristive Neural Networks55
Weight-Oriented Approximation for Energy-Efficient Neural Network Inference Accelerators55
Finite-/Fixed-Time Synchronization of Memristor Chaotic Systems and Image Encryption Application55
Highly Sensitive Phase-Variation Dielectric Constant Sensor Based on a Capacitively-Loaded Slow-Wave Transmission Line54
Research Progress on Memristor: From Synapses to Computing Systems53
Co-Design of Fault Detection and Consensus Control Protocol for Multi-Agent Systems Under Hidden DoS Attack53
Distributed Fault-Tolerant Consensus Tracking Control of Multi-Agent Systems Under Fixed and Switching Topologies53
Bipartite Average Tracking for Multi-Agent Systems With Disturbances: Finite-Time and Fixed-Time Convergence51
A 22nm, 10.8 μ W/15.1 μ W Dual Computing Modes High Power-Performance-Area Efficiency Domained Background Noise Aware Keyword- Spotting Processor51
RRAM for Compute-in-Memory: From Inference to Training50
Finite/Fixed-Time Anti-Synchronization of Inconsistent Markovian Quaternion-Valued Memristive Neural Networks With Reaction-Diffusion Terms50
Novel Speed-and-Power-Optimized SRAM Cell Designs With Enhanced Self-Recoverability From Single- and Double-Node Upsets50
Fast Strategies for the Implementation of SIKE Round 3 on ARM Cortex-M450
Predictive Voltage Hierarchical Controller Design for Islanded Microgrids Under Limited Communication49
ADP-Based Security Decentralized Sliding Mode Control for Partially Unknown Large-Scale Systems Under Injection Attacks49
High Performance CNN Accelerators Based on Hardware and Algorithm Co-Optimization49
Control of a Buck DC/DC Converter Using Approximate Dynamic Programming and Artificial Neural Networks48
Discrete-Communication-Based Bipartite Tracking of Networked Robotic Systems via Hierarchical Hybrid Control48
Optimizing Weight Mapping and Data Flow for Convolutional Neural Networks on Processing-in-Memory Architectures48
Distributed Consensus of Layered Multi-Agent Systems Subject to Attacks on Edges48
Bandwidth Enhancement of Doherty Power Amplifier Using Modified Load Modulation Network47
Robust H Pinning Synchronization for Complex Networks With Event-Triggered Communication Scheme47
Theoretical Foundations of Memristor Cellular Nonlinear Networks: Stability Analysis With Dynamic Memristors45
Millimeter-Wave Integrated Phased Arrays45
A Novel Approach to State and Unknown Input Estimation for Takagi–Sugeno Fuzzy Models With Applications to Fault Detection44
Robust H∞ Adaptive Sliding Mode Fault Tolerant Control for T-S Fuzzy Fractional Order Systems With Mismatched Disturbances44
A Transient-Enhanced Output-Capacitorless LDO With Fast Local Loop and Overshoot Detection44
Impact of Variability on Processor Performance in Negative Capacitance FinFET Technology43
Theoretical Foundations of Memristor Cellular Nonlinear Networks: A DRM2-Based Method to Design Memcomputers With Dynamic Memristors43
Instruction-Set Accelerated Implementation of CRYSTALS-Kyber43
Soft Fault Diagnosis of Analog Circuits Based on a ResNet With Circuit Spectrum Map43
Jitter-Power Trade-Offs in PLLs43
Ultra-Low-Power FDSOI Neural Circuits for Extreme-Edge Neuromorphic Intelligence43
LWRpro: An Energy-Efficient Configurable Crypto-Processor for Module-LWR43
Distributed Fixed-Time Triggering-Based Containment Control for Networked Nonlinear Agents Under Directed Graphs42
Exploiting Sensitivity Enhancement in Micro-wave Planar Sensors Using Intermodulation Products With Phase Noise Analysis42
Incremental Delta-Sigma ADCs: A Tutorial Review42
Time-Domain Computing in Memory Using Spintronics for Energy-Efficient Convolutional Neural Network42
Finite-Time and Fixed-Time Bipartite Consensus Tracking of Multi-Agent Systems With Weighted Antagonistic Interactions41
Pinning-Observer-Based Secure Synchronization Control for Complex Dynamical Networks Subject to DoS Attacks41
Fully Distributed Containment Control for Multiple Euler-Lagrange Systems Over Directed Graphs: An Event-Triggered Approach41
Probabilistic-Constrained Distributed Filtering for a Class of Nonlinear Stochastic Systems Subject to Periodic DoS Attacks40
Fractional-Order Sliding Mode Approach of Buck Converters With Mismatched Disturbances40
Decentralized Adaptive Event-Triggered Control for a Class of Uncertain Systems With Deception Attacks and Its Application to Electronic Circuits40
H∞ Control for Switched Systems Based on Dynamic Event-Triggered Strategy and Quantization Under State-Dependent Switching40
A Logic-Compatible eDRAM Compute-In-Memory With Embedded ADCs for Processing Neural Networks39
Advanced Control Strategies for DC–DC Buck Converters With Parametric Uncertainties via Experimental Evaluation39
Bumpless Transfer Control for Switched Linear Systems and its Application to Aero-Engines38
Design of Soft-Error-Aware SRAM With Multi-Node Upset Recovery for Aerospace Applications38
A T-Type Switched-Capacitor Multilevel Inverter With Low Voltage Stress and Self-Balancing38
H∞ Stabilization of Discrete-Time Nonlinear Semi-Markov Jump Singularly Perturbed Systems With Partially Known Semi-Markov Kernel Information37
Adaptive Fault Estimation for Unmanned Surface Vessels With a Neural Network Observer Approach37
Output Regulation for Switched Systems With Multiple Disturbances37
Neural Network-Based Distributed Adaptive Pre-Assigned Finite-Time Consensus of Multiple TCP/AQM Networks37
Intrusion-Detector-Dependent Distributed Economic Model Predictive Control for Load Frequency Regulation With PEVs Under Cyber Attacks37
Adaptive Practical Fixed-Time Tracking Control With Prescribed Boundary Constraints37
A 3.3-mW 25.2-to-29.4-GHz Current-Reuse VCO Using a Single-Turn Multi-Tap Inductor and Differential-Only Switched-Capacitor Arrays With a 187.6-dBc/Hz FOM36
Flexible High Throughput QC-LDPC Decoder With Perfect Pipeline Conflicts Resolution and Efficient Hardware Utilization36
S-Type Locally Active Memristor-Based Periodic and Chaotic Oscillators36
Uncertain Disturbance Rejection and Attenuation for Semi-Markov Jump Systems With Application to 2-Degree-Freedom Robot Arm36
Adaptive Continuous Barrier Function Terminal Sliding Mode Control Technique for Disturbed Robotic Manipulator35
Observer-Based Adaptive Neural Output Feedback Constraint Controller Design for Switched Systems Under Average Dwell Time35
Continuous-Mode Hybrid Asymmetrical Load- Modulated Balanced Amplifier With Three-Way Modulation and Multi-Band Reconfigurability35
Efficient Soft-Output Gauss–Seidel Data Detector for Massive MIMO Systems35
A Double-Memristor Hyperchaotic Oscillator With Complete Amplitude Control35
Distributed Containment Control for Human-in-the-Loop MASs With Unknown Time-Varying Parameters35
Distributed Model Predictive Consensus of Heterogeneous Time-Varying Multi-Agent Systems: With and Without Self-Triggered Mechanism35
Generating Any Number of Diversified Hidden Attractors via Memristor Coupling35
A Charge-Domain Scalable-Weight In-Memory Computing Macro With Dual-SRAM Architecture for Precision-Scalable DNN Accelerators34
A Single Slope ADC With Row-Wise Noise Reduction Technique for CMOS Image Sensor34
Finite-Time Intra-Layer and Inter-Layer Quasi-Synchronization of Two-Layer Multi-Weighted Networks33
Automated Deep Neural Learning-Based Optimization for High Performance High Power Amplifier Designs33
A 470-nA Quiescent Current and 92.7%/94.7% Efficiency DCT/PWM Control Buck Converter With Seamless Mode Selection for IoT Application33
Spiral-Transform-Based Fractal Sorting Matrix for Chaotic Image Encryption32
Almost Sure Synchronization of Multilayer Networks via Intermittent Pinning Noises: A White-Noise-Based Time-Varying Coupling32
High-Throughput Portable True Random Number Generator Based on Jitter-Latch Structure32
Saturated Threshold Event-Triggered Control for Multiagent Systems Under Sensor Attacks and Its Application to UAVs32
Proposal of Analog In-Memory Computing With Magnified Tunnel Magnetoresistance Ratio and Universal STT-MRAM Cell32
Deep Neural Network Acceleration Based on Low-Rank Approximated Channel Pruning32
Analysis and Design of a CMOS Bidirectional Passive Vector-Modulated Phase Shifter32
How to Build a Memristive Integrate-and-Fire Model for Spiking Neuronal Signal Generation31
Neuromorphic Dynamics of Chua Corsage Memristor31
Emerging Terahertz Integrated Systems in Silicon31
A Memristive Multiplier Using Semi-Serial IMPLY-Based Adder31
A Rapid Circle Centre-Line Concept-Based MPPT Algorithm for Solar Photovoltaic Energy Conversion Systems31
Non-Singular Fixed-Time Tracking Control of Uncertain Nonlinear Pure-Feedback Systems With Practical State Constraints31
Design and Analysis of Approximate Compressors for Balanced Error Accumulation in MAC Operator31
Event-Triggered Synchronization for Nonlinear Multi-Agent Systems With Sampled Data30
Event-Triggered Sliding Mode Control of Power Systems With Communication Delay and Sensor Faults30
A Reliable Low Standby Power 10T SRAM Cell With Expanded Static Noise Margins30
Real-Time Distance Evaluation System for Wireless Localization30
Low-Power SAR ADC Design: Overview and Survey of State-of-the-Art Techniques30
Predictive Control of Low-Cost Three-Phase Four-Switch Inverter-Fed Drives for Brushless DC Motor Applications30
A DC-50 GHz CMOS Switched-Type Attenuator With Capacitive Compensation Technique30
Beamspace Channel Estimation for Massive MIMO mmWave Systems: Algorithm and VLSI Design30
Design of Digital OTAs With Operation Down to 0.3 V and nW Power for Direct Harvesting30
An Efficient and Flexible Accelerator Design for Sparse Convolutional Neural Networks30
n-Dimensional Polynomial Chaotic System With Applications30
Edge of Chaos Theory Resolves Smale Paradox30
Fault-Tolerant Control Based on Virtual Actuator and Sensor for Discrete-Time Descriptor Systems30
Privacy-Preserving Consensus for Multi-Agent Systems via Node Decomposition Strategy30
A 7-bit 2 GS/s Time-Interleaved SAR ADC With Timing Skew Calibration Based on Current Integrating Sampler29
A Low Walk Error Analog Front-End Circuit With Intensity Compensation for Direct ToF LiDAR29
An SRAM-Based PUF With a Capacitive Digital Preselection for a 1E-9 Key Error Probability29
A Robust 8-Bit Non-Volatile Computing-in-Memory Core for Low-Power Parallel MAC Operations29
Senputing: An Ultra-Low-Power Always-On Vision Perception Chip Featuring the Deep Fusion of Sensing and Computing29
Design and Evaluation of Low-Complexity Radiation Hardened CMOS Latch for Double-Node Upset Tolerance29
A 0.5-V Supply, 36 nW Bandgap Reference With 42 ppm/°C Average Temperature Coefficient Within −40 °C to 120 °C29
A Self-Timed Voltage-Mode Sensing Scheme With Successive Sensing and Checking for STT-MRAM29
Locally Active Memristor-Based Neuromorphic Circuit: Firing Pattern and Hardware Experiment28
Broadband Balun-LNA Employing Local Feedback g m -Boosting Technique and Balanced Loads for Low-Power Low-Voltage App28
Fast Beam Training With True-Time-Delay Arrays in Wideband Millimeter-Wave Systems28
Distributed Adaptive Finite-Time Compensation Control for UAV Swarm With Uncertain Disturbances28
NbO2-Mott Memristor: A Circuit- Theoretic Investigation28
An Approximate Memory Architecture for Energy Saving in Deep Learning Applications28
A 7.4-MHz Tri-Mode DC-DC Buck Converter With Load Current Prediction Scheme and Seamless Mode Transition for IoT Applications28
Applications of Artificial Intelligence on the Modeling and Optimization for Analog and Mixed-Signal Circuits: A Review28
A Framework of L-HC and AM-MKF for Accurate Harmonic Supportive Control Schemes28
A Thermal/RF Hybrid Energy Harvesting System With Rectifying-Combination and Improved Fractional-OCV MPPT Method28
Double-Sub-Stream M-ary Differential Chaos Shift Keying Wireless Communication System Using Chaotic Shape-Forming Filter28
Distributed Observer-BasedHFault-Tolerant Control for DC Microgrids With Sensor Fault28
Asymptotic Output Tracking of Probabilistic Boolean Control Networks28
A New Energy-Efficient and High Throughput Two-Phase Multi-Bit per Cycle Ring Oscillator-Based True Random Number Generator28
A Fully Synthesizable Fractional-N MDLL With Zero-Order Interpolation-Based DTC Nonlinearity Calibration and Two-Step Hybrid Phase Offset Calibration28
Event-Triggered Control for Networked Systems Under Denial of Service Attacks and Applications28
A 0.5-V 3.69-nW Complementary Source-Follower-C Based Low-Pass Filter for Wearable Biomedical Applications28
Dynamic Event-Based Non-Fragile Dissipative State Estimation for Quantized Complex Networks With Fading Measurements and Its Application28
Adaptive Finite-Time Control for High-Order Nonlinear Systems With Multiple Uncertainties and its Application28
TD-SRAM: Time-Domain-Based In-Memory Computing Macro for Binary Neural Networks27
Gradient-Adaptive Spline-Interpolated LUT Methods for Low-Complexity Digital Predistortion27
A 70-to-2 V Triboelectric Energy Harvesting System Utilizing Parallel-SSHI Rectifier and DC-DC Converters27
Dynamic Event-Triggered Impulsive Control for Stochastic Nonlinear Systems With Extension in Complex Networks27
Observer-Based Event-Triggered Formation Control of Multi-Agent Systems With Switching Directed Topologies27
The Challenges and Emerging Technologies for Low-Power Artificial Intelligence IoT Systems27
Approximate Pruned and Truncated Haar Discrete Wavelet Transform VLSI Hardware for Energy-Efficient ECG Signal Processing27
Generic Wireless Power Transfer and Data Communication System Based on a Novel Modulation Technique27
Phase Shifter-Relaxed and Control-Relaxed Continuous Steering Multiple Beamforming 4 × 4 Butler Matrix Phased Array27
Dynamic Event-Triggered Tracking Control for a Class of p-Normal Nonlinear Systems27
Data-Driven Distributed Coordinated Control for Cloud-Based Model-Free Multiagent Systems With Communication Constraints27
Adaptive Cooperative Terminal Sliding Mode Control for Distributed Energy Storage Systems27
Analog/Digital Multiplierless Implementations for Nullcline-Characteristics-Based Piecewise Linear Hindmarsh-Rose Neuron Model27
Modeling and Analysis of High-Performance Triple Hole Block Layer Organic LED Based Light Sensor for Detection of Ovarian Cancer27
SIKE’d Up: Fast Hardware Architectures for Supersingular Isogeny Key Encapsulation27
The Hardware and Algorithm Co-Design for Energy-Efficient DNN Processor on Edge/Mobile Devices27
Efficient Design of Spiking Neural Network With STDP Learning Based on Fast CORDIC26
Fixed-Time Stabilization for Nonlinear Systems With Low-Order and High-Order Nonlinearities via Event-Triggered Control26
A High-Sensitivity Wide Input-Power-Range Ultra-Low-Power RF Energy Harvester for IoT Applications26
Analysis and Design of N-Path Band-Pass Filters With Negative Base Band Resistance26
NS-CIM: A Current-Mode Computation-in-Memory Architecture Enabling Near-Sensor Processing for Intelligent IoT Vision Nodes26
Analysis and Optimization Strategies Toward Reliable and High-Speed 6T Compute SRAM25
Event-Triggered Adaptive Fault-Tolerant Control for a Class of Nonlinear Multiagent Systems With Sensor and Actuator Faults25
An RF-Energy Harvester and IR-UWB Transmitter for Ultra-Low-Power Battery-Less Biosensors25
A Flexible and Efficient FPGA Accelerator for Various Large-Scale and Lightweight CNNs25
High-Speed LDPC Decoders Towards 1 Tb/s25
Distributed Reinforcement Learning Containment Control for Multiple Nonholonomic Mobile Robots25
Leveraging Negative Capacitance CNTFETs for Image Processing: An Ultra-Efficient Ternary Image Edge Detection Hardware25
Resistance Compression Dual-Band Differential CMOS RF Energy Harvester Under Modulated Signal Excitation25
sBSNN: Stochastic-Bits Enabled Binary Spiking Neural Network With On-Chip Learning for Energy Efficient Neuromorphic Computing at the Edge25
Distributed Optimal Tracking Control of Discrete-Time Multiagent Systems via Event-Triggered Reinforcement Learning25
A Two-Level Energy Management Strategy for Multi-Microgrid Systems With Interval Prediction and Reinforcement Learning25
Linearity Enhanced Harmonic-Modulated Impedance Inverter Doherty-Like Power Amplifier25
A Robust Local Positive Feedback Based Performance Enhancement Strategy for Non-Recycling Folded Cascode OTA25
A Foreground Calibration for M-Channel Time-Interleaved Analog-to-Digital Converters Based on Genetic Algorithm25
Dual Input Digitally Controlled Broadband Three-Stage Doherty Power Amplifier With Back-Off Reconfigurability24
A +0.44°C/−0.4°C Inaccuracy Temperature Sensor With Multi-Threshold MOSFET-Based Sensing Element and CMOS Thyristor-Based VCO24
A Self-Matching Complementary-Reference Sensing Scheme for High-Speed and Reliable Toggle Spin Torque MRAM24
Active Interface for Piezoelectric Harvesters Based on Multi-Variable Maximum Power Point Tracking24
Wideband Class-F−1 Power Amplifier With Dual-/Quad-Mode Bandpass Response24
A Two-Stage Operand Trimming Approximate Logarithmic Multiplier24
DT-CNN: An Energy-Efficient Dilated and Transposed Convolutional Neural Network Processor for Region of Interest Based Image Segmentation24
Event-Triggered H Filtering for Discrete-Time Switched Systems Under Denial-of-Service24
Distributed Adaptive Resilient Formation Control of Uncertain Nonholonomic Mobile Robots Under Deception Attacks24
Output Feedback-Based Neural Adaptive Finite-Time Containment Control of Non-Strict Feedback Nonlinear Multi-Agent Systems24
A Fixed Latency ORBGRAND Decoder Architecture With LUT-Aided Error-Pattern Scheduling24
Adaptive PI Control for Synchronization of Complex Networks With Stochastic Coupling and Nonlinear Dynamics24
A 108 F2/Bit Fully Reconfigurable RRAM PUF Based on Truly Random Dynamic Entropy of Jitter Noise24
Observer-Based Bipartite Containment Control for Singular Multi-Agent Systems Over Signed Digraphs23
Adaptive Fuzzy Output-Feedback Control Design for a Class of p-Norm Stochastic Nonlinear Systems With Output Constraints23
Analog Neural Computing With Super-Resolution Memristor Crossbars23
A 22-to-47 GHz 2-Stage LNA With 22.2 dB Peak Gain by Using Coupled L-Type Interstage Matching Inductors23
A Doherty Power Amplifier With Extended High-Efficiency Range Using Three-Port Harmonic Injection Network23
Experimental Study of Fractional-Order RC Circuit Model Using the Caputo and Caputo-Fabrizio Derivatives23
A Broadband Doherty Power Amplifier With Hybrid Class-EFJ Mode23
Interpretable Memristive LSTM Network Design for Probabilistic Residential Load Forecasting23
A Real-Time Hardware Emulator for 3D Non-Stationary U2V Channels23
Analysis and Design of a Broadband Receiver Front End for 0.1-to-40-GHz Application23
An Ultra-Low Quiescent Current Tri-Mode DC-DC Buck Converter With 92.1% Peak Efficiency for IoT Applications23
Polytopic Event-Triggered Robust Model Predictive Control for Constrained Linear Systems23
Always-On 674μ W@4GOP/s Error Resilient Binary Neural Networks With Aggressive SRAM Voltage Scaling on a 22-nm IoT End-Node23
A 20 MHz–2 GHz Inductorless Two-Fold Noise-Canceling Low-Noise Amplifier in 28-nm CMOS23
On Feedback Sample Selection Methods Allowing Lightweight Digital Predistorter Adaptation23
A 2.4–6 GHz Broadband GaN Power Amplifier for 802.11ax Application22
Resource-Shared Crypto-Coprocessor of AES Enc/Dec With SHA-322
Energy-Efficient Precharge-Free Ternary Content Addressable Memory (TCAM) for High Search Rate Applications22
Design of a 4.2-to-5.1 GHz Ultralow-Power Complementary Class-B/C Hybrid-Mode VCO in 65-nm CMOS Fully Supported by EDA Tools22
Exponential Synchronization of Complex Networks: An Intermittent Adaptive Event-Triggered Control Strategy22
High Speed and Low Digital Resources Implementation of Hodgkin-Huxley Neuronal Model Using Base-2 Functions22
A High-Performance Domain-Specific Processor With Matrix Extension of RISC-V for Module-LWE Applications22
Leader-Following Pinning Synchronization of Multiagent Systems With Impulsive Interlayer Coupling22
Predefined Finite-Time Output Containment of Nonlinear Multi-Agent Systems With Leaders of Unknown Inputs22
A Wideband Differential Linear Low-Noise Transconductance Amplifier With Active-Combiner Feedback in Complementary MGTR Configurations22
A Millimeter-Wave Reconfigurable On-Chip Coupler With Tunable Power-Dividing Ratios in 0.13-$\mu$ m BiCMOS Technology22
Finite-Time Bipartite Tracking Control for Double-Integrator Networked Systems With Cooperative and Antagonistic Interactions22
A Miniaturized 0.003 mm2 PNP-Based Thermal Sensor for Dense CPU Thermal Monitoring22
Secure Estimation Against Malicious Attacks for Lithium-Ion Batteries Under Cloud Environments22
A Stride-Based Convolution Decomposition Method to Stretch CNN Acceleration Algorithms for Efficient and Flexible Hardware Implementation22
Efficient Successive Over Relaxation Detectors for Massive MIMO22
Synchronization Analysis on Two-Layer Networks of Fractional-Order Systems: IntraIayer and InterIayer Synchronization22
Rapid Simulation of Photonic Integrated Circuits Using Verilog-A Compact Models22
A Novel Asynchronous CA Neuron Model: Design of Neuron-Like Nonlinear Responses Based on Novel Bifurcation Theory of Asynchronous Sequential Logic Circuit22
A Low-Profile Autonomous Interface Circuit for Piezoelectric Micro-Power Generators21
A Secure Dynamic Event-Triggered Mechanism for Resilient Control of Multi-Agent Systems Under Sensor and Actuator Attacks21
Dynamic Harmonic Analysis With FIR Filters Designed With O-Splines21
A Comprehensive Phase Noise Analysis of Bang-Bang Digital PLLs21
Hybrid Event-Triggered Approach for Quasi-Consensus of Uncertain Multi-Agent Systems With Impulsive Protocols21
On-Chip Solar Energy Harvester and PMU With Cold Start-Up and Regulated Output Voltage for Biomedical Applications21
FPGA-Based Low-Visibility Enhancement Accelerator for Video Sequence by Adaptive Histogram Equalization With Dynamic Clip-Threshold21
0.077754974365234