Journal of Electronic Testing-Theory and Applications

Papers
(The median citation count of Journal of Electronic Testing-Theory and Applications is 0. The table below lists those papers that are above that threshold based on CrossRef citation counts [max. 250 papers]. The publications cover those that have been published in the past four years, i.e., from 2020-03-01 to 2024-03-01.)
ArticleCitations
LoBA: A Leading One Bit Based Imprecise Multiplier for Efficient Image Processing21
Soft Error Hardened Asymmetric 10T SRAM Cell for Aerospace Applications19
Spectrum Analyzer Based on a Dynamic Filter13
Aging-Resilient SRAM-based True Random Number Generator for Lightweight Devices12
Low-Cost Error Detection in Deep Neural Network Accelerators with Linear Algorithmic Checksums10
Hardware Obfuscation for IP Protection of DSP Applications9
SC-COTD: Hardware Trojan Detection Based on Sequential/Combinational Testability Features using Ensemble Classifier8
Design and Optimization Methodology of Coplanar Waveguide Test Structures for Dielectric Characterization of Thin Films7
A Review of Various Defects in PCB7
An Efficient Algorithm for Optimizing the Test Path of Digital Microfluidic Biochips7
Analysis of Security Vulnerability Levels of In-Vehicle Network Topologies Applying Graph Representations7
Remaining Useful Life Prediction of Analog Circuit Using Improved Unscented Particle Filter7
Review of Manufacturing Process Defects and Their Effects on Memristive Devices7
Soft Error Reliability Evaluation of Nanoscale Logic Circuits in the Presence of Multiple Transient Faults7
Aging Prediction and Tolerance for the SRAM Memory Cell and Sense Amplifier6
Resistance of the Montgomery Ladder Against Simple SCA: Theory and Practice6
Traxtor: An Automatic Software Test Suit Generation Method Inspired by Imperialist Competitive Optimization Algorithms6
Pre-Silicon Verification Using Multi-FPGA Platforms: A Review6
Fault Diagnosis of Linear Analog Electronic Circuit Based on Natural Response Specification using K-NN Algorithm6
Investigations on the Use of Ensemble Methods for Specification-Oriented Indirect Test of RF Circuits6
Maximal Connectivity Test with Channel-Open Faults in On-Chip Communication Networks5
Model Transferability from ImageNet to Lithography Hotspot Detection5
Comprehensive Analysis and Optimization of Reliable Viterbi Decoder Circuits Implemented in Modular VLSI Design Logic Styles5
Hardware Efficient Approximate Multiplier Architecture for Image Processing Applications5
Fault Diagnosis Method of Low Noise Amplifier Based on Support Vector Machine and Hidden Markov Model5
CMOS Implementation and Performance Analysis of Known Approximate 4:2 Compressors4
Thermal-aware Test Data Compression for System-on-Chip Based on Modified Bitmask Based Methods4
Reducing Aging Impacts in Digital Sensors via Run-Time Calibration4
Design of Radiation Hardened Latch and Flip-Flop with Cost-Effectiveness for Low-Orbit Aerospace Applications4
Retesting Defective Circuits to Allow Acceptable Faults for Yield Enhancement4
Self Healing Controllers to Mitigate SEU in the Control Path of FPGA Based System: A Complete Intrinsic Evolutionary Approach4
Design of Power Gated SRAM Cell for Reducing the NBTI Effect and Leakage Power Dissipation During the Hold Operation4
A Systematic Bit Selection Method for Robust SRAM PUFs4
Smell Detection Agent Optimization Approach to Path Generation in Automated Software Testing4
Design and Evaluation of XOR Arbiter Physical Unclonable Function and its Implementation on FPGA in Hardware Security Applications4
A Fault Verification Method Based on the Substitution Theorem and Voltage-Current Phase Relationship4
Revisit to Histogram Method for ADC Linearity Test: Examination of Input Signal and Ratio of Input and Sampling Frequencies4
Novel Fault-Tolerant Processing in Memory Cell in Ternary Quantum-Dot Cellular Automata4
A Source-code Aware Method for Software Mutation Testing Using Artificial Bee Colony Algorithm4
A Low Power-Consumption Triple-Node-Upset-Tolerant Latch Design4
Hardware Trojan Free Netlist Identification: A Clustering Approach4
An Efficient VLSI Test Data Compression Scheme for Circular Scan Architecture Based on Modified Ant Colony Meta-heuristic4
Single Particle Fault Injection Signal Generation Method Using Gaussian Cloud Model3
Analysis and Detection of Open-gate Defects in Redundant Structures of a FinFET SRAM Cell3
Comparing the Impact of Power Supply Voltage on CMOS- and FinFET-Based SRAMs in the Presence of Resistive Defects3
Error-Efficient Approximate Multiplier Design using Rounding Based Approach for Image Smoothing Application3
Neural Network-based Online Fault Diagnosis in Wireless-NoC Systems3
Co-Optimization of Test Wrapper Length and TSV for TSV Based 3D SOCs3
Part I: Evaluation for Hardware Trojan Detection Based on Electromagnetic Radiation3
AFIA: ATPG-Guided Fault Injection Attack on Secure Logic Locking3
Tipping Point Analysis of Electrical Resistance Data with Early Warning Signals of Failure for Predictive Maintenance3
Design of INV/BUFF Logic Locking For Enhancing the Hardware Security2
A probability density estimation algorithm on multiwavelet for the high-resolution ADC2
Testable Architecture Design for Programmable Cellular Automata on FPGA Using Run-Time Dynamically Reconfigurable Look-Up Tables2
Evaluation of a Two-Tier Adaptive Indirect Test Flow for a Front-End RF Circuit2
Diagnosis of Incipient Faults in Nonlinear Analog Circuits Based on High Order Moment Fractional Transform2
Novel MEMS Piezoresistive Sensor with Hair-Pin Structure to Enhance Tensile and Compressive Sensitivity and Correct Non-Linearity2
Built-In Self-Test for Multi-Threshold NULL Convention Logic Asynchronous Circuits using Pipeline Stage Parallelism2
Multiple Retest Systems for Screening High-Quality Chips2
Estimating Operational Age of an Integrated Circuit2
On Reducing Test Data Volume for Circular Scan Architecture Using Modified Shuffled Shepherd Optimization2
Evaluation of Single Event Upset Susceptibility of FinFET-based SRAMs with Weak Resistive Defects2
Identifying Resistive Open Defects in Embedded Cells under Variations2
TRAP-GATE: A Probabilistic Approach to Enhance Hardware Trojan Detection and its Game Theoretic Analysis2
Cross-PUF Attacks: Targeting FPGA Implementation of Arbiter-PUFs2
A Bit-Error Rate Measurement and Error Analysis of Wireline Data Transmission using Current Source Model for Single Event Effect under Irradiation Environment2
A New Neural Network Based on CNN for EMIS Identification2
Parameterizable Real Number Models for Mixed-Signal Designs Using SystemVerilog2
Investigation of the Impact of BTI Aging Phenomenon on Analog Amplifiers2
Soft Computing Techniques Based CAD Approach for Power Supply Noise Reduction in System-on-Chip2
A Novel Approach of Data Content Zeroization Under Memory Attacks2
Soft Errors Sensitivity of SRAM Cells in Hold, Write, Read and Half-Selected Conditions2
Deep Soft Error Propagation Modeling Using Graph Attention Network2
Decoupling Capacitor Estimation and Allocation using Optimization Techniques for Power Supply Noise Reduction in System-on-Chip1
Method of Implanting Hardware Trojan Based on EHW in Part of Circuit1
An Accurate Estimation Algorithm for Failure Probability of Logic Circuits Using Correlation Separation1
An Analytic Model for Predicting Single Event (SE) Crosstalk of Nanometer CMOS Circuits1
Hardware Trojan Detection Method Based on Dual Discriminator Assisted Conditional Generation Adversarial Network1
Comparison of the Output Parameters of the Memristor-based Op-amp Model and the Traditional Op-amp Model1
Role of the Pulse Repetition Rate when Assessing Electromagnetic Immunity of Electronic Devices1
Modular Test Kit – A Modular Approach for Efficient and Function-Oriented Testing1
A Tunable Concurrent BIST Design Based on Reconfigurable LFSR1
Synthesis of Reversible Circuits with Reduced Nearest-Neighbor Cost Using Kronecker Functional Decision Diagrams1
A Low-cost BIST Design Supporting Offline and Online Tests1
Design of an Integrated System for On-line Test and Diagnosis of Rotary Actuators1
Measurement and Simulation of the Near Magnetic Field Radiated by Integrated Magnetic Inductors1
Low Area FPGA Implementation of AES Architecture with EPRNG for IoT Application1
Evaluation of Ionizing Radiation Effects on Device Modules Used in Wireless-Based Monitoring Systems1
Applying Artificial Neural Networks to Logic Built-in Self-test: Improving Test Point Insertion1
Efficient Designs of Reversible Majority Voters1
Stress-Aware Periodic Test of Interconnects1
A Polynomial Transform Method for Hardware Systematic Error Identification and Correction in Semiconductor Multi-Site Testing1
A Methodology for Identification of Internal Nets for Improving Fault Coverage in Analog and Mixed Signal Circuits1
Fault-Aware Dependability Enhancement Techniques for Phase Change Memory1
Temperature and Humidity Controlled Test Bench for Temperature Sensor Characterization1
Investigation of Single Event Effects in a Resistive RAM Memory Array by Coupling TCAD and SPICE Simulations1
A Secure and Robust PUF-based Key Generation with Wiretap Polar Coset Codes1
Detection and Diagnosis of Multi-Fault for through Silicon Vias in 3D IC1
Single Event Upset Evaluation for a 28-nm FDSOI SRAM Type Buffer in an ARM Processor1
Achieving Agility in Projects Through Hierarchical Divisive Clustering Algorithm1
Area-Efficient and Reliable Error Correcting Code Circuit Based on Hybrid CMOS/Memristor Circuit1
Impact of Worst-Case Excitation for DDR interface Signal and Power Integrity Co-Simulation1
Low-Power Area-Efficient Fault Tolerant Adder in Current Mode Multi Valued Logic Using Berger Codes1
Network-on-Chip and Photonic Network-on-Chip Basic Concepts: A Survey1
Radiation Tolerant SRAM Cell Design in 65nm Technology1
Efficient Design of Rounding-Based Approximate Multiplier Using Modified Karatsuba Algorithm1
Design Development and Testing of High Performance Microwave Frequency Up-Converter1
A New Approximate 4-2 Compressor using Merged Sum and Carry1
Automated Design Error Debugging of Digital VLSI Circuits1
Speed-Up in Test Methods Using Probabilistic Merit Indicators1
A Complete Design-for-Test Scheme for Reconfigurable Scan Networks1
Testing and Diagnosis of Digital Microfluidic Biochips using Multiple Droplets1
DFS-KeyLevel: A Two-Layer Test Scenario Generation Approach for UML Activity Diagram0
Research on the Reliability of Interconnected Solder Joints of Copper Pillars under Random Vibration0
Time Complexity Comparison of Stopping at First Failure and Completely Running the Test0
A Numeral System Based Framework for Improved One-Lambda Crosstalk Avoidance Code Using Recursive Symmetry Formula0
Diagnosis of Analog and Digital Circuit Faults Using Exponential Deep Learning Neural Network0
A Survey of PCB Defect Detection Algorithms0
A Quadruple-Node Upsets Hardened Latch Design Based on Cross-Coupled Elements0
A Test Generation Method of R-2R Digital-to-Analog Converters Based on Genetic Algorithm0
Efficient Design of Rounding Based Static Segment Imprecise Multipliers for Error Tolerance Application0
E3C Techniques for Protecting NAND Flash Memories0
Test Technology Newsletter0
Performances and Stability Analysis of a Novel 8T1R Non-Volatile SRAM (NVSRAM) versus Variability0
An Investigation into the Failure Characteristics of External PCB Traces with Different Angle Bends0
Detection Method of Hardware Trojan Based on Attention Mechanism and Residual-Dense-Block under the Markov Transition Field0
Proton Beam Validation of a New Single Event Transient Mitigation Technique0
Editorial0
Multi-Objective Optimization Based Test Pattern Generation for Hardware Trojan Detection0
Test Technology Newsletter0
Formal Verification of ECCs for Memories Using ACL20
2020 JETTA Reviewers0
Editorial0
A CatBoost Based Approach to Detect Label Flipping Poisoning Attack in Hardware Trojan Detection Systems0
Neuro-Fuzzy Evaluation of the Software Reliability Models by Adaptive Neuro Fuzzy Inference System0
Test Technology Newsletter0
Editorial0
Library Characterization of Arithmetic Circuits for Reliability-Aware Designs in SRAM-Based FPGAs0
The Newsletter of the Test Technology Technical Council of the IEEE Computer Society0
Test Technology Newsletter0
Diagnosis and Compensation of Control Program, Sensor and Actuator Failures in Nonlinear Systems Using Hierarchical State Space Checks0
Test Technology Newsletter0
Design and Verification of an Asynchronous NoC Router Architecture for GALS Systems0
Failure Mechanism and Sampling Frequency Dependency on TID Response of SAR ADCs0
Threshold Analysis Using Probabilistic Xgboost Classifier for Hardware Trojan Detection0
Editorial0
Test Technology Newsletter0
Test Technology Newsletter0
A Low Bit Instability CMOS PUF Based on Current Mirrors and WTA Cells0
Test Technology Newsletter0
Editorial0
BISCC: A Novel Approach to Built In State Consistency Checking For Quick Volume Validation of Mixed-Signal/RF Systems0
A Flexible Concurrent Testing Scheme for Non-Feedback and Feedback Bridging Faults in Integrated Circuits0
Editorial0
High Performance Approximate Memories for Image Processing Applications0
Test Technology Newsletter0
New Second-order Threshold Implementation of Sm4 Block Cipher0
Editorial0
A Low-Cost, Robust and Tolerant, Digital Scheme for Post-Bond Testing and Diagnosis of TSVs0
Research on Analog Integrated Circuit Test Parameter Set Reduction Based on XGBoost0
Experimental and Simulation Results of Wien Bridge Oscillator Circuıt Realized wıth Op-Amp Designed Using a Memristor0
Trade-off Mechanism Between Reliability and Performance for Data-flow Soft Error Detection0
2019 JETTA-TTTC Best Paper Award0
Light Emission Tracking and Measurements for Analog Circuits Fault Diagnosis in Automotive Applications0
Online Diagnosis and Self-Recovery of Faulty Cells in Daisy-Chained MEDA Biochips Using Functional Actuation Patterns0
Effective Software Mutation-Test Using Program Instructions Classification0
Influence of Printed Circuit Board Dynamics on the Fretting Wear of Electronic Connectors: A Dynamic Analysis Approach0
Test Technology Newsletter0
A Weighted-Bin Difference Method for Issue Site Identification in Analog and Mixed-Signal Multi-Site Testing0
Low Overhead and High Stability Radiation-Hardened Latch for Double/Triple Node Upsets0
Test Technology Newsletter0
Automated Bug Resistant Test Intent with Register Header Database for Optimized Verification0
Incomplete Testing of SOC0
FAMCroNA: Fault Analysis in Memristive Crossbars for Neuromorphic Applications0
Editorial0
High Resolution Pulse Propagation Driven Trojan Detection in Digital Systems0
Refined Self-calibration of an Inductorless Low-noise Amplifier with Non-intrusive Circuit0
2020 JETTA-TTTC Best Paper Award0
Editorial0
Editorial0
Stuck-At Fault Mitigation of Emerging Technologies Based Switching Lattices0
2021 JETTA-TTTC Best Paper Award0
Editorial0
New Editors – 20220
2021 Reviewers0
Journal of Electronic Testing: Theory and Applications New Editors – 20230
MATLAB-Open Source Tool Based Framework for Test Generation for Digital Circuits Using Evolutionary Algorithms0
Fault Tolerant Lanczos Eigensolver via an Invariant Checking Method0
Efficient Test and Characterization of Space Transmit-Receive Modules Using Scalable and Multipurpose Automated Test System0
Editorial0
Test Technology Newsletter0
Editorial0
Development of a Simplified Programming Kit Based 16LF18856 for Embedded Systems Testing and Education in Developing Countries0
Editorial0
Clock-Less DFT and BIST for Dual-Rail Asynchronous Circuits0
Identification of Logic Paths Influenced by Severe Coupling Capacitances0
Test Technology Newsletter0
HVoC: a Hybrid Model Checking - Interactive Theorem Proving Approach for Functional Verification of Digital Circuits0
Editorial0
Evaluating the Reliability of Different Voting Schemes for Fault Tolerant Approximate Systems0
Performance Efficient and Fault Tolerant Approximate Adder0
Analysis of the Lifecycles of Automotive Resistor Lead in Random Vibration0
Fault Detection and Diagnosis of DMFB Using Concurrent Electrodes Actuation0
Editorial0
General Fault and Soft-Error Tolerant Phase-Locked Loop by Enhanced TMR using A Synchronization-before-Voting Scheme0
Tolerating Soft Errors with Horizontal-Vertical-Diagonal-N-Queen (HVDNQ) Parity0
Failure Probability due to Radiation-Induced Effects in FinFET SRAM Cells under Process Variations0
2022 Reviewers0
Efficient Fault Detection by Test Case Prioritization via Test Case Selection0
New Method for Determining and Predicting Test Interconnect Pin Current Carrying Capacity0
Reducing Library Characterization Time for Cell-aware Test while Maintaining Test Quality0
Test Technology Newsletter0
Increased Detection of Hard-to-Detect Stuck-at Faults during Scan Shift0
Editorial0
Editorial0
Using both Stable and Unstable SRAM Bits for the Physical Unclonable Function0
Test Technology Newsletter0
Identification of Unknown Electromagnetic Interference Sources Based on Siamese-CNN0
Research on the Mechanical Properties of Magnetorheological Damping and the Performance of Microprobe Test Process0
A Framework for Configurable Joint-Scan Design-for-Test Architecture0
Test Technology Newsletter0
Cost-Effective Path Delay Defect Testing Using Voltage/Temperature Analysis Based on Pattern Permutation0
Editorial0
Test Technology Newsletter0
A Cascaded Multicasting Architecture for Test Data Compression0
Structural and SCOAP Features Based Approach for Hardware Trojan Detection Using SHAP and Light Gradient Boosting Model0
Test Technology Newsletter0
Editorial0
Intrinsic Based Self-healing Adder Design Using Chromosome Reconstruction Algorithm0
Test Technology Newsletter0
On-Line Test of Pin-Constrained Digital Microfluidic Biochips with Connect-5 Structure0
2022 JETTA-TTTC Best Paper Award0
TTTC Newsletter0
Editorial0
A Novel Metaheuristic Based Method for Software Mutation Test Using the Discretized and Modified Forrest Optimization Algorithm0
Effect of Sizing and Scaling on Power Dissipation and Resilience of an RHBD SRAM Circuit0
The Detection of Malicious Modifications in the FPGA0
On the Use of the Indirect Test Strategy for Lifetime Performance Monitoring of RF Circuits0
0.038756847381592