Journal of Vacuum Science & Technology A

Papers
(The TQCC of Journal of Vacuum Science & Technology A is 4. The table below lists those papers that are above that threshold based on CrossRef citation counts [max. 250 papers]. The publications cover those that have been published in the past four years, i.e., from 2021-05-01 to 2025-05-01.)
ArticleCitations
Implementation of an artificial spiking neuron with photoreceptor functionality using gas discharge tubes85
Plasma enhanced atomic layer etching of high-k layers on WS267
Correlating chemical and electronic states from quantitative photoemission electron microscopy of transition-metal dichalcogenide heterostructures44
Extreme atomic-scale surface roughening: Amino acids on Ag on Au(111)41
Role of nanoscale surface defects on Sn adsorption and diffusion behavior on oxidized Nb(100)37
Study of synthesis strategies to improve the electrical properties of magnetron sputtered copper oxide thin films36
Use of in situ electrical conductance measurements to understand the chemical mechanisms and chamber wall effects during vapor phase infiltration doping of poly(aniline) with TiCl4 + H2O35
Influence of plasma species on the early-stage growth kinetics of epitaxial InN grown by plasma-enhanced atomic layer deposition32
Deposition of sputtered NiO as a p-type layer for heterojunction diodes with Ga2O331
Applications and mechanisms of anisotropic two-step Si3N4 etching with hydrogen plasma conditioning27
Size distribution of clusters and nucleation preference of trimers during SiC (0001) surface epitaxial growth under low coverage27
Comparing sputter rates, depth resolution, and ion yields for different gas cluster ion beams (GCIB): A practical guide to choosing the best GCIB for every application26
Effects of duty cycle and nitrogen flow rate on the mechanical properties of (V,Mo)N coatings deposited by high-power pulsed magnetron sputtering26
Core-shell metallic nanotube arrays for highly sensitive surface-enhanced Raman scattering (SERS) detection25
Conformal coating of macroscopic nanoparticle compacts with ZnO via atomic layer deposition24
Hardness, adhesion, and wear behavior of magnetron cosputtered Ti:Zr-O-N thin films24
Peak intensities in Auger electron spectroscopy for quantification: Relationship between differentiated spectral intensities and direct peak areas23
Transport and trap states in proton irradiated ultra-thick κ-Ga2O323
Tailoring structure, morphology, and tribo-mechanical properties of HiPIMS-deposited CrxNy coatings for enhanced performance in wear and corrosion protection22
Friction and wear behavior of C implanted copper via ion beam-assisted bombardment22
Nitrogen-incorporated tetrahedral amorphous carbon optically transparent thin film electrode22
Kinetic Monte Carlo study on the effect of growth conditions on the epitaxial growth of 3C–SiC (0001) vicinal surface22
Erratum: “MoS2-assisted growth of highly-oriented AlN thin films by low-temperature van der Waals epitaxy” [J. Vac. Sci. Technol. A 42, 050401 (2024)]22
Zirconia-titania-doped tantala optical coatings for low mechanical loss Bragg mirrors22
Enhancing chemical vapor deposition growth and fabrication techniques to maximize hole conduction in tungsten diselenide for monolithic CMOS integration20
Erratum: “Microscopic origins of radiative performance losses in thin-film solar cells at the example of (Ag,Cu)(In,Ga)Se2 devices” [J. Vac. Sci. Technol. A 42, 022803 (2024)]19
Self-powered solar blind ultraviolet photodetector based on amorphous (In0.23Ga0.77)2O3/bixbyite (In0.67Ga0.33)2O3 heterojunction18
Understanding the unique optical and vibrational signatures of sequential infiltration synthesis derived indium oxyhydroxide clusters for CO2 absorption18
On the interplay between a novel iron and iron-carbide atomic layer deposition process, the carbon nanotube growth, and the metal–carbon nanotube coating properties on silica substrates17
Retarded solid state dewetting of thin bismuth films with oxide capping layer17
High crystalline quality homoepitaxial Si-doped β-Ga2O3(010) layers with reduced structural anisotropy grown by hot-wall MOCVD17
Using auxiliary electrochemical working electrodes as probe during contact glow discharge electrolysis: A proof of concept study16
Analysis of pulsed direct current reactive magnetron sputtering on a silicon target16
Novel principal component analysis tool based on python for analysis of complex spectra of time-of-flight secondary ion mass spectrometry16
Spin- and time-resolved photoelectron spectroscopy and diffraction studies using time-of-flight momentum microscopes15
Enhancing minority carrier lifetime in Ge: Insights from HF and HCl cleaning procedures15
Approaching ultrathin VO2 films on sapphire (001) substrates by biased reactive sputtering: Characteristic morphology and its effect on the infrared-light switching15
Hot corrosion behavior of NiCoCrAlY laser cladding coating on 17-4PH stainless steel before and after high-current pulsed electron beam irradiation15
Amorphous carbon thin films: Mechanisms of hydrogen incorporation during magnetron sputtering and consequences for the secondary electron emission15
Aminosilane small molecule inhibitors for area-selective deposition: Study of substrate-inhibitor interfacial interactions15
Nonpyrophoric alternative to trimethylaluminum for the atomic layer deposition of Al2O315
Novel high-efficiency plasma nitriding process utilizing a high power impulse magnetron sputtering discharge15
Surface temperature of a 2 in. Ti target during DC magnetron sputtering14
In situ studies on atomic layer etching of aluminum oxide using sequential reactions with trimethylaluminum and hydrogen fluoride14
Preparation of stable and highly hydrophobic coatings via one-step spray method and study of their anti-icing performance14
Enhanced plasma resistance of uniform ALD-Y2O3 thin films for chamber components’ coatings14
Anisotropic magnetoresistance and planar Hall effect in correlated and topological materials14
Surface characterization of ultrathin atomic layer deposited molybdenum oxide films using high-sensitivity low-energy ion scattering13
Improved growth quality of epitaxial ZnTe thin films on Si (111) wafer with ZnSe buffer layer13
Depth profile reconstruction of YCrO3/CaMnO3 superlattices by near total reflection hard x-ray photoelectron spectroscopy13
Silicon-ion implantation induced doping and nanoporosity in molecular beam epitaxy grown GaSb epitaxial films13
Detection of H2 facilitated by ionic liquid gating of tungsten oxide films13
Methyl-methacrylate based aluminum hybrid film grown via three-precursor molecular layer deposition12
Ordered deficient perovskite La2/3TiO3 films grown via molecular beam epitaxy12
Stability investigation of Eu3+ doped CaF2 thin film with ZnO coating under electron beam irradiation12
Dependence of persistent photoconductivity on the thickness of β-Ga2O3 thin film photodetectors on c-plane sapphire via magnetron sputtering12
Design and fabrication of color-generating nitride based thin-film optical filters for photovoltaic applications12
Modeling scale-up of particle coating by atomic layer deposition12
Evaluation of stress and elastic energy relief efficiency in a hard coating with a metal interlayer—Using TiN/Ti as a model system12
Ga+-focused ion beam damage in n-type Ga2O312
Band offsets at metalorganic chemical vapor deposited β-(AlxGa1−x)2O3/β-Ga2O3 interfaces—Crystalline orientation dependence12
Effects of thickness and interlayer on optical properties of AlN films at room and high temperature12
Significance of plasma-surface interactions in the etch behavior of low-k materials12
Method for extracting the intrinsic diffusion coefficient from grain boundary diffusion depth profile12
Structure and corrosion resistance of electron-beam-strengthened and micro-arc oxidized coatings on magnesium alloy AZ3112
Enhancement of CrN-polyetherimide adhesion by hardening substrate’s surface12
Polydimethylsiloxane surface irradiated by nitrogen ions: Influence of low molecular fractions11
Deposition of tungsten oxide films by reactive magnetron sputtering on different substrates11
Comprehensive ion-molecule reactive collision model for processing plasmas11
Origin of enhanced thermal atomic layer etching of amorphous HfO211
Room-temperature and high-quality HfO2/SiO2 gate stacked film grown by neutral beam enhanced atomic layer deposition11
Metalorganic chemical vapor deposition of (100) β-Ga2O3 on on-axis Ga2O3 substrates11
Adsorption mechanism of dimeric Ga precursors in metalorganic chemical vapor deposition of gallium nitride11
Engineering ordered arrangements of oxygen vacancies at the surface of superconducting La2CuO4 thin films11
Effect of anti-reflection coating on the performance of silicon solar cells with nanocrystalline quantum dots downshifting film11
Effect of atomic layer annealing in plasma-enhanced atomic layer deposition of aluminum nitride on silicon11
Comparison of triethylgallium and diethylgallium ethoxide for β-Ga2O3 growth by metalorganic vapor phase epitaxy10
Correlation of interfacial and dielectric characteristics in atomic layer deposited Al2O3/TiO2 nanolaminates grown with different precursor purge times10
Radiation damage effects on electronic and optical properties of β-Ga2O3 from first-principles10
Enhancing laser-driven flyer velocity by optimizing of modulation period of Al/Ti reactive multilayer films10
Nucleation and quantum confinement of nano-platelet Bi2–Bi2Se310
Series resonance effects of a variable inductor termination on the nonpowered electrode in capacitively coupled plasmas10
Photoemission study of plutonium oxycarbide10
Molybdenum erosion in iodine plasma at hollow cathode conditions10
Plasma induced damage on AlGaN/GaN heterostructure during gate opening for power devices10
Preparation and hot corrosion properties of the AlCrFeCoNi0.5Si high-entropy alloy coating deposited by the air plasma spraying10
Micromechanical properties of micro- and nanocrystalline CVD diamond thin films with gradient microstructures and stresses10
Selective vapor sensors with thin-film MoS2-coated optical fibers10
XPS investigation of monoatomic and cluster argon sputtering of zirconium dioxide9
Two step synthesis of ultrathin transition metal tellurides9
Influence of annealing temperature of nickel oxide as hole transport layer applied for inverted perovskite solar cells9
Quantifying the resonant photoemission of radiation damaged Pu9
Stoichiometry tuning of TaN films through ion treatment: Molecular dynamics study9
Optical emission spectroscopy and Langmuir probe studies of an intermediate pressure, supersonic microplasma jet deposition source9
Experimental study of transport properties of Weyl semimetal LaAlGe thin films grown by molecular beam epitaxy9
Disclosing the response of the surface electronic structure in SrTiO3 (001) to strain9
Substrate induced composition change during Ge2Sb2Te5 atomic layer deposition and study of initial reactions on SiO2 surface9
Spatial atomic layer deposition: Transport-reaction modeling and experimental validation of film geometry9
Nitrogen ion-implanted resistive regions for edge termination of vertical Ga2O3 rectifiers9
My times with Bill Wolfer9
Plasma enhanced atomic layer deposition of manganese nitride thin film from manganese amidinate and ammonia plasma9
Probing copper-boron interactions in the Cu2B8− bimetallic cluster9
Gliding arc discharge plasma treatment for promoting germination of wheat seed at low ambient temperature9
Surface plasmon resonance is possible in an optical fiber tapered to a point9
Quantification in high-energy resolution Auger electron spectroscopy; Proposal of a reference target convolution technique for direct spectra8
Erratum: “Ion beam assisted chemical vapor deposition of hybrid coatings—Process diagnostics and mechanisms” [J. Vac. Sci. Technol. A 39, 063003 (2021)]8
Investigations on Ba diffusion and SiO evaporation during BaSi2 film formation on Si substrates by thermal evaporation8
In situ diagnostics of the Si etching structures profile in ICP SF6/C4F8 plasma: Macrostructures8
Bottom angle control mechanism and optimization of slanted gratings for optical applications8
Effect of additive type and amount on structural and mechanical properties of ZrO2/B4C/Al2O3/SiC added Al 1050 based composite structures produced by vacuum infiltration—Comparative study8
Shake loss intensities in x-ray photoelectron spectroscopy: Theory, experiment, and atomic composition accuracy for MgO and related compounds8
Precise control of time-varying effusion cell flux in molecular beam epitaxy8
Study of microstructure and mechanical properties of cBN coatings on nanocrystalline diamond transition layer prepared by magnetron sputtering8
Moisture barrier coating of AlN and Al2O3 multilayer film prepared by low-temperature atomic layer deposition8
Insight into the effect of coexistence of CO2 and H2 on stoichiometric and defective PuO2 surfaces hydriding from first-principles study8
Scanning electron microscopy imaging of multilayer-doped GaN: Effects of surface band bending, surface roughness, and contamination layers on doping contrast8
Continuous wave laser-assisted evaporation of halide perovskite thin films from a single stoichiometric source8
Bio-tribocorrosion resistance of CoB–Co2B and Co2B layers on CoCrMo alloy8
Room-temperature atomic layer deposition of iron oxide using plasma excited humidified argon8
Deposition rate and optical emissions in niobium oxide processes by reactive sputtering8
Plasma-enhanced atomic layer deposition of crystalline GaN thin films on quartz substrates with sharp interfaces8
Chemical mechanism for nucleation enhancement in atomic layer deposition of Pt by surface functionalization8
Photoelectron diffraction: Early demonstrations and alternative modes8
Microstructure and properties of laser cladding NiFeCrCoMo high entropy alloy coating on the surface of TP347 steel8
Spin-related negative magnetoresistance in germanium films8
Gas source molecular epitaxy of Ge1−ySny materials and devices using high order Ge4H10 and Ge5H12 hydrides8
High throughput multiplexing reactor design for rapid screening of atomic/molecular layer deposition processes8
Atomic step disorder on polycrystalline surfaces leads to spatially inhomogeneous work functions7
Low-temperature ALD of metallic cobalt using the CoCOhept precursor: Simulation-assisted process development for deposition on temperature sensitive 3D-structures7
High-quality GaN thin film deposition at low temperature by ECR plasma-assisted sputter deposition method and its dependence of sapphire substrate misorientation angle7
Improved properties of atomic layer deposited ruthenium via postdeposition annealing7
Effect of interface roughness on the tribo-corrosion behavior of diamond like carbon coatings on titanium alloy7
Thermal atomic layer etching of cobalt using sulfuryl chloride for chlorination and tetramethylethylenediamine or trimethylphosphine for ligand addition7
Effect of vacuum heat treatment on tribological properties of metal-doped CuS/MoS2 coating7
Transparent conductive properties of TiON thin films7
Wear and corrosion resistance of zinc-oxide and zirconium-oxide coated WE43 magnesium alloy7
Comparison of three titanium-precursors for atomic-layer-deposited TiO2 for passivating contacts on silicon7
Superconformal chemical vapor deposition using plasma-generated atomic species as a consumable growth inhibitor7
Conformal and superconformal chemical vapor deposition of silicon carbide coatings7
Uppsala and Berkeley: Two essential laboratories in the development of modern photoelectron spectroscopy7
On the growth kinetics, texture, microstructure, and mechanical properties of tungsten carbonitride deposited by chemical vapor deposition7
Spatiotemporal evolution of excitation temperature of vacuum arcs by tomography7
MOS structure with as-deposited ALD Al2O3/4H-SiC heterostructure with high electrical performance: Investigation of the interfacial region7
Revealing the mechanism of interfacial adhesion enhancement between the SiO2 film and the GaAs substrate via plasma pre-treatments7
The growth of self-intercalated Nb1+xSe2 by molecular beam epitaxy: The effect of processing conditions on the structure and electrical resistivity7
Synthesis and electrical behavior of VO2 thin films grown on SrRuO3 electrode layers7
Role of SiCl4 addition in CH3F/O2 based chemistry for Si3N4 etching selectively to SiO2, SiCO, and Si7
Zn:DLC films via PECVD-HIPIMS: Evaluation of antimicrobial activity and cytotoxicity to mammalian cells7
Effect of magnetic field on capacitively coupled plasma modulated by electron beam injection7
Effect of data preprocessing and machine learning hyperparameters on mass spectrometry imaging models7
Influence of HfO2 and SiO2 interfacial layers on the characteristics of n-GaN/HfSiOx capacitors using plasma-enhanced atomic layer deposition7
In situ growth of ultrathin Y2O3 capping layers for Eu-organic thin films via atomic/molecular layer deposition7
Highly selective and vertical etch of silicon dioxide using ruthenium films as an etch mask7
Atomic layer deposition of transition metal chalcogenide TaSx using Ta[N(CH3)2]3[NC(CH3)3] precursor and H2S plasma7
Empirical analysis of a hollow cathode’s intensity distribution in the vacuum ultraviolet range7
Preface for the special topic collection Honoring Dr. Art Gossard’s 85th Birthday and his Leadership in the Science and Technology of Molecular Beam Epitaxy7
Surface treatment of TaN for sub-2 nm, smooth, and conducting atomic layer deposition Ru films7
Large redshift in photoluminescence of InAs/AlAs short-period superlattices due to highly ordered lateral composition modulation7
Optoelectronic properties of transparent oxide semiconductor ASnO3 (A = Ba, Sr, and Ca) epitaxial films and thin film transistors7
Operando study of the preferential growth of SiO2 during the dry thermal oxidation of Si0.60Ge0.40(001) by ambient pressure x-ray photoelectron spectroscopy7
Polarized photoluminescence from Sn, Fe, and unintentionally doped β-Ga2O37
Self-assembled oligomeric structures of an asymmetric molecular linker; 4-isocyanophenyl disulfide on Au(111)7
Thermomechanical properties of aluminum oxide thin films made by atomic layer deposition7
Numerical ellipsometry: Artificial intelligence for rapid analysis of indium tin oxide films on silicon7
Insight into the mechanism of lattice damage in ground and polished InAs substrates6
Design method for generating multiple colors with thickness-modulated thin-film optical filters for silicon solar cells6
Near-surface electronic structure in strained Ni-ferrite films: An x-ray absorption spectroscopy study6
Foldable electrochromic NiO films6
Variability of band alignment between WS2 and SiO2: Intrinsic versus extrinsic contributions6
Optical properties of La1−xSrxVO3 (0 ≤ x ≤ 1) films grown on LSAT substrates using radio frequency sputtering deposition6
Characterization of a broad beam Kaufman-type ion source operated with CHF3 and O26
Challenges in porosity characterization of thin films: Cross-evaluation of different techniques6
Electroconductive and photoelectric properties of Pt/(100) β-Ga2O3 Schottky barrier diode based on Czochralski grown crystal6
Benchmarking large language models for materials synthesis: The case of atomic layer deposition6
Growth and optical properties of NiO thin films deposited by pulsed dc reactive magnetron sputtering6
Improved optical efficiency of GaAs-based infrared vertical-cavity surface-emitting laser enabled by combining a metallic reflector and a Bragg reflector6
Fabrication of antireflective coatings with self-cleaning function using Si–Ti modified hollow silicon mixed sol6
A high-power impulse magnetron sputtering global model for argon plasma–chromium target interactions6
X-ray photoelectron spectroscopy study of Pt-cluster-induced electronic-state change of CeO2(111) surfaces6
Influence of magnetic field strength on plasma, microstructure, and mechanical properties of Cr thin films deposited by MPPMS and DOMS6
Chemical significance of x-ray photoelectron spectroscopy binding energy shifts: A Perspective6
Probing trade-off between critical size and velocity in cold-pray: An atomistic simulation6
Effects of N2 and O2 plasma treatments of quartz surfaces exposed to H2 plasmas6
Effect of ozone and humidity addition on hydrogen peroxide generation characteristics of plasmas in oxygen bubbles6
Comparison of BCl3, TiCl4, and SOCl2 chlorinating agents for atomic layer etching of TiO2 and ZrO2 using tungsten hexafluoride6
Plasma-enhanced atomic layer deposition of crystalline Ga2S3 thin films6
An examination of the performance of molecular dynamics force fields: Silicon and silicon dioxide reactive ion etching6
Oxygen incorporation in AlN films grown by plasma-enhanced atomic layer deposition6
Microkinetic based growth and property modeling of plasma enhanced atomic layer deposition silicon nitride thin film6
Bacterial and corrosion resistance of polytetrafluoroethylene-silver composite coatings by magnetron sputtering6
Low temperature epitaxial growth of Cantor-nitride thin films by magnetic field assisted magnetron sputtering6
Interaction of Mg with the ionic liquid 1-butyl-1-methylpyrrolidinium bis(trifluoromethylsulfonyl)imide—An experimental and computational model study of the electrode–electrolyte interface in post-lit6
Theoretical analysis of thermal spikes during ion bombardment of amorphous silicon nitride surfaces6
Effect of O2 plasma exposure time during atomic layer deposition of amorphous gallium oxide6
Enhancing biocompatibility, mechanical properties, and corrosion resistance of laser cladding β-TiNb coatings6
Cu2O/ZnO heterojunction self-powered photodetector performance regulation6
Investigation of arc spot splitting behavior on aluminum, titanium, and their alloy cathodes under different gas flows6
XPS guide for insulators: Electron flood gun operation and optimization, surface charging, controlled charging, differential charging, useful FWHMs, problems and solutions, and advice6
Sn-doping concentration dependence of electrical, optical, and magnetic properties in epitaxial Mn-doped indium tin oxide films deposited by RF magnetron sputtering6
Atomic layer deposition of nanofilms on porous polymer substrates: Strategies for success6
Effect of focus ring with external circuit on cathode edge sheath dynamics in a capacitively coupled plasma6
Conduction band nonparabolicity, chemical potential, and carrier concentration of intrinsic InSb as a function of temperature6
Evolution of β-Ga2O3 to γ -Ga2O3 solid-solution epitaxial films after high-temperature annealing6
Link between cracking mechanisms of trilayer films on flexible substrates and electro-mechanical reliability under biaxial loading6
Half-century old Berkeley idea now finding missing links of nuclear quadrupole moments6
Insufficient reporting of x-ray photoelectron spectroscopy instrumental and peak fitting parameters (metadata) in the scientific literature6
Machine learning-based prediction of the electron energy distribution function and electron density of argon plasma from the optical emission spectra6
Homogeneous high In content InxGa1−x N films by supercycle atomic layer deposition6
Microstructure and properties of Mg/Ti joint welded by resistance spot welding with an aluminum interlayer6
Neutral transport during etching of high aspect ratio features6
Crystallization kinetics during layer exchange of 28Si implanted Al films for fabrication of quantum computers: A theoretical model6
In situ and ex situ quantification of nanoparticle fluxes in magnetron sputter inert gas condensation: A Cu nanoparticle case study6
Effect of fabrication processes before atomic layer deposition on β-Ga2O3/HfO2/Cr/Au metal–oxide–semiconductor capacitors6
Hollow cathode plasma electron source for low temperature deposition of cobalt films by electron-enhanced atomic layer deposition6
David Shirley: Pioneer, teacher, mentor, and visionary scientific leader5
Influence of the carrier wafer during GaN etching in Cl2 plasma5
Spherical-periodic order and relevant short-range structural units in simple crystal structures5
Correlating surface stoichiometry and termination in SrTiO3 films grown by hybrid molecular beam epitaxy5
Crystallinity degradation and defect development in (AlxGa1−x)2O3 thin films with increased Al composition5
Interface-mediated ferroelectricity in PMN-PT/PZT flexible bilayer via pulsed laser deposition5
Practical guides for x-ray photoelectron spectroscopy: Use of argon ion beams for sputter depth profiling and cleaning5
Computational modeling of a surfatron mode microwave plasma in NH3/N2 for remote radical generation in a silicon native oxide cleaning process5
Dynamical reverse folding and residual gas expansion models of flexible thin films5
Growth of topological insulator Bi2Se3 particles on GaAs via droplet epitaxy5
Type II band alignment of NiO/α-Ga2O3 for annealing temperatures up to 600 °C5
Cut-and-pasting ligands: The structure/function relationships of a thermally robust Mo(VI) precursor5
Performance evaluation of GaN etching using Cl2-based plasma with bias pulsing5
Low temperature Topographically Selective Deposition by Plasma Enhanced Atomic Layer Deposition with ion bombardment assistance5
Titanium infiltration into ultrathin PMMA brushes5
Stabilizing far-from-equilibrium (Mo,Ti)S2 thin films by metal sulfurization at reduced temperature5
Investigation of the dielectric recovery process of vacuum arc in double breaks by planar laser-induced fluorescence5
Atomic layer deposition of GdF3 thin films5
In-cycle evolution of thickness and roughness parameters during oxygen plasma enhanced ZnO atomic layer deposition using in situ spectroscopic ellipsometry5
Epitaxial film growth by thermal laser evaporation5
Growth of conformal TiN thin film with low resistivity and impurity via hollow cathode plasma atomic layer deposition5
Mechanism of residue formation on Ge-rich germanium antimony tellurium alloys after plasma etching5
Effect of annealing on the magnetic anisotropy of GaMnAsP layers with graded P concentration5
Nucleation and growth of molybdenum disulfide grown by thermal atomic layer deposition on metal oxides5
Effect of SiO2 buffer layer on phase transition properties of VO2 films fabricated by low-pressure chemical vapor deposition5
Materials’ properties of low temperature deposited Cu/W and Cu/Cr multilayer thin films using high power impulse magnetron sputtering5
Ultraviolet photo-enhanced atomic layer deposition for improving dielectric properties of low temperature deposited Al2O35
Proton irradiation effect on InAs/GaAs quantum dot solar cells5
Effect of drift layer doping and NiO parameters in achieving 8.9 kV breakdown in 100 μm diameter and 4 kV/4 A in 1 mm diameter NiO/β-Ga2O3 rectifiers5
Low temperature, area-selective atomic layer deposition of NiO and Ni5
Measurements of atomic hydrogen recombination coefficients and the reduction of Al2O3 using a heat flux sensor5
Enhancing the photo-response characteristics of graphene/n-Si based Schottky barrier photodiodes by increasing the number of graphene layers5
Optical studies of pure and (Cu, Co) doped nickel zinc ferrite films deposited on quartz substrate5
Erratum: “Secondary ion mass spectrometry quantification: Do you remember when a factor of 2 was good enough?” [J. Vac. Sci. Technol. B 41, 030803 (2023)]5
CF4 plasma-based atomic layer etching of Al2O3 and surface smoothing effect5
6p valence relativistic effects in 5d photoemission spectrum of Pb atom and bonding properties of Pb-dimer using Dirac–Hartree–Fock formalism including many-body effects5
0.082180976867676