Journal of Vacuum Science & Technology A

Papers
(The TQCC of Journal of Vacuum Science & Technology A is 5. The table below lists those papers that are above that threshold based on CrossRef citation counts [max. 250 papers]. The publications cover those that have been published in the past four years, i.e., from 2020-02-01 to 2024-02-01.)
ArticleCitations
Practical guide for curve fitting in x-ray photoelectron spectroscopy272
Practical guides for x-ray photoelectron spectroscopy (XPS): Interpreting the carbon 1s spectrum182
Introduction to x-ray photoelectron spectroscopy154
Practical guides for x-ray photoelectron spectroscopy: Quantitative XPS128
XPS guide: Charge neutralization and binding energy referencing for insulating samples109
Assessment of the frequency and nature of erroneous x-ray photoelectron spectroscopy analyses in the scientific literature103
Misconceptions in interpretation of nitrogen chemistry from x-ray photoelectron spectra93
Practical guide for inelastic mean free paths, effective attenuation lengths, mean escape depths, and information depths in x-ray photoelectron spectroscopy76
X-ray photoelectron spectroscopy: A perspective on quantitation accuracy for composition analysis of homogeneous materials70
Practical guide to the use of backgrounds in quantitative XPS70
Consistency and reproducibility in atomic layer deposition68
Thermal atomic layer etching: A review58
Introductory guide to backgrounds in XPS spectra and their impact on determining peak intensities57
Many routes to ferroelectric HfO2: A review of current deposition methods55
The role of plasma in plasma-enhanced atomic layer deposition of crystalline films47
Next generation nanopatterning using small molecule inhibitors for area-selective atomic layer deposition44
Ion implantation in β-Ga2O3: Physics and technology42
Guide to making XPS measurements on nanoparticles42
High-temperature low-pressure chemical vapor deposition of β-Ga2O342
Practical guides for x-ray photoelectron spectroscopy: Analysis of polymers40
Effect of metal contacts on (100) β-Ga2O3 Schottky barriers38
Optimization of HiPIMS discharges: The selection of pulse power, pulse length, gas pressure, and magnetic field strength35
Introduction to topical collection: Reproducibility challenges and solutions with a focus on guides to XPS analysis34
Thermal atomic layer etching of silicon nitride using an oxidation and “conversion etch” mechanism33
Plasma deposition—Impact of ions in plasma enhanced chemical vapor deposition, plasma enhanced atomic layer deposition, and applications to area selective deposition31
Sample handling, preparation and mounting for XPS and other surface analytical techniques31
Effect of probe geometry during measurement of >100 A Ga2O3 vertical rectifiers30
Deep level defect states in β-, α-, and ɛ-Ga2O3 crystals and films: Impact on device performance29
Epitaxial metals for interconnects beyond Cu29
Heteroepitaxial growth of β-Ga2O3 films on SiC via molecular beam epitaxy29
New strategies for conformal, superconformal, and ultrasmooth films by low temperature chemical vapor deposition28
Effect of crystallinity on thermal atomic layer etching of hafnium oxide, zirconium oxide, and hafnium zirconium oxide28
Tutorial on forming through-silicon vias27
Etch selectivity during plasma-assisted etching of SiO2 and SiNx: Transitioning from reactive ion etching to atomic layer etching27
Diffusion of dopants and impurities in β-Ga2O326
Uranium and arsenic unregulated water issues on Navajo lands26
Self-healing ceramic coatings that operate in extreme environments: A review25
Modeling of an atmospheric pressure plasma-liquid anodic interface: Solvated electrons and silver reduction24
Atomic layer deposition of a uniform thin film on two-dimensional transition metal dichalcogenides24
Systematic compositional analysis of sputter-deposited boron-containing thin films23
Manipulation of thin silver film growth on weakly interacting silicon dioxide substrates using oxygen as a surfactant23
High responsivity solar-blind metal-semiconductor-metal photodetector based on α-Ga2O323
Introductory guide to the application of XPS to epitaxial films and heterostructures22
Role of consistent terminology in XPS reproducibility21
Epitaxial integration of BaTiO3 on Si for electro-optic applications21
Quantum dot lasers—History and future prospects20
Practical guide for x-ray photoelectron spectroscopy: Applications to the study of catalysts20
Structure evolution and mechanical properties of hard tantalum diboride films20
Correlation between electrical conductivity and luminescence properties in β-Ga2O3:Cr3+ and β-Ga2O3:Cr,Mg single crystals20
Procedure which allows the performance and calibration of an XPS instrument to be checked rapidly and frequently19
Impact of Cr2O3 additives on the gas-sensitive properties of β-Ga2O3 thin films to oxygen, hydrogen, carbon monoxide, and toluene vapors18
Thermal atomic layer etching of amorphous and crystalline Al2O3 films18
Reduction of unintentional Si doping in β-Ga2O3 grown via plasma-assisted molecular beam epitaxy18
Performance and reliability of β-Ga2O3 Schottky barrier diodes at high temperature18
Green CVD—Toward a sustainable philosophy for thin film deposition by chemical vapor deposition17
Investigating recent developments and applications of optical plasma spectroscopy: A review17
Guide to XPS data analysis: Applying appropriate constraints to synthetic peaks in XPS peak fitting17
Selective atomic layer etching of HfO2 over silicon by precursor and substrate-dependent selective deposition16
Application of FEFF analyses to actinide 5f systems16
Surface damage formation during atomic layer etching of silicon with chlorine adsorption16
O·, H·, and ·OH radical etching probability of polystyrene obtained for a radio frequency driven atmospheric pressure plasma jet16
Two-step growth of β-Ga2O3 films on (100) diamond via low pressure chemical vapor deposition16
Magnetron sputtering16
Perspectives from research on metal-semiconductor contacts: Examples from Ga2O3, SiC, (nano)diamond, and SnS16
Versailles Project on Advanced Materials and Standards interlaboratory study on intensity calibration for x-ray photoelectron spectroscopy instruments using low-density polyethylene15
Mechanism of SiN etching rate fluctuation in atomic layer etching15
Accuracy limitations for composition analysis by XPS using relative peak intensities: LiF as an example15
Sideways deposition rate and ionized flux fraction in dc and high power impulse magnetron sputtering15
Conversion reactions in atomic layer processing with emphasis on ZnO conversion to Al2O3 by trimethylaluminum15
Low temperature ALD growth optimization of ZnO, TiO2, and Al2O3 to be used as a buffer layer in perovskite solar cells15
β-(AlxGa1−x)2O3/Ga2O3 heterostructure Schottky diodes for improved VBR2/RON15
Microstructure and optical properties of sputter-deposited Ga2O3 films14
Area-selective molecular layer deposition of nylon 6,2 polyamide: Growth on carbon and inhibition on silica14
Ultrahigh purity conditions for nitride growth with low oxygen content by plasma-enhanced atomic layer deposition14
Interface structure and luminescence properties of epitaxial PbSe films on InAs(111)A14
Factors influencing surface carbon contamination in ambient-pressure x-ray photoelectron spectroscopy experiments14
Insight into the removal and reapplication of small inhibitor molecules during area-selective atomic layer deposition of SiO214
Physical and chemical vapor deposition methods applied to all-inorganic metal halide perovskites14
Thermal atomic layer etching of germanium-rich SiGe using an oxidation and “conversion-etch” mechanism14
tert-butoxides as precursors for atomic layer deposition of alkali metal containing thin films14
Band offsets at metalorganic chemical vapor deposited β-(AlxGa1−x)2O3/β-Ga2O3 interfaces—Crystalline orientation dependence14
Atomic layer etching of GaN using Cl2 and He or Ar plasma14
Uncertainties in photoemission peak fitting accounting for the covariance with background parameters14
Plasma atomic layer etching of SiO2 and Si3N4 with heptafluoropropyl methyl ether (C3F7OCH3)14
Atomic layer deposition of high purity Ga2O3 films using liquid pentamethylcyclopentadienyl gallium and combinations of H2O and O2 plasma13
Experimental determination of electron attenuation lengths in complex materials by means of epitaxial film growth: Advantages and challenges13
Surface science of shape-selective metal nanocrystal synthesis from first-principles: Growth of Cu nanowires and nanocubes13
Design of Ga2O3 modulation doped field effect transistors13
Atomic layer deposition of AlN using atomic layer annealing—Towards high-quality AlN on vertical sidewalls13
Hard x-ray photoelectron spectroscopy of tunable oxide interfaces13
Thickness-dependent optical properties of aluminum nitride films for mid-infrared wavelengths13
In situ x-ray photoelectron spectroscopy analysis of electrochemical interfaces in battery: Recent advances and remaining challenges13
Comparative study of two atomic layer etching processes for GaN13
In situ surface analysis of an ion-energy-dependent chlorination layer on GaN during cyclic etching using Ar+ ions and Cl radicals13
Epitaxial superconductor-semiconductor two-dimensional systems for superconducting quantum circuits13
Formation and desorption of nickel hexafluoroacetylacetonate Ni(hfac)2 on a nickel oxide surface in atomic layer etching processes12
Nanolaminated Al2O3/HfO2 dielectrics for silicon carbide based devices12
On the formation of black silicon in SF6-O2 plasma: The clear, oxidize, remove, and etch (CORE) sequence and black silicon on demand12
High power impulse magnetron sputtering of diamond-like carbon coatings12
Kinetic modeling of ammonia decomposition at chemical vapor deposition conditions12
Inside the mysterious world of plasma: A process engineer’s perspective12
N-type doping of low-pressure chemical vapor deposition grown β-Ga2O3 thin films using solid-source germanium12
Role of physisorption in atomic layer etching of silicon nitride12
Temperature dependence of on–off ratio and reverse recovery time in NiO/β-Ga2O3 heterojunction rectifiers12
Effect of terminal methyl group concentration on critical properties and plasma resistance of organosilicate low-k dielectrics12
Evaluation of TiO2 and ZnO atomic layer deposition coated polyamide 66 fabrics for photocatalytic activity and antibacterial applications12
Universal scaling relationship for atomic layer etching12
Role of high aspect-ratio thin-film metal deposition in Cu back-end-of-line technology12
In operando x-ray photoelectron spectroscopy study of mechanism of atomic layer etching of cobalt11
In situ, in vivo, and in operando imaging and spectroscopy of liquids using microfluidics in vacuum11
Review on recent progress in patterning phase change materials11
Structure, stress, and mechanical properties of Mo-Al-N thin films deposited by dc reactive magnetron cosputtering: Role of point defects11
Wafer-level uniformity of atomic-layer-deposited niobium nitride thin films for quantum devices11
Hf1−xZrxO2 and HfO2/ZrO2 gate dielectrics with extremely low density of interfacial defects using low temperature atomic layer deposition on GaN and InP11
3D-printed and injection molded polymer matrix composites with 2D layered materials11
Modified atomic layer deposition of MoS2 thin films11
Oxidative molecular layer deposition of PEDOT using volatile antimony(V) chloride oxidant11
Influence of precursor dose and residence time on the growth rate and uniformity of vanadium dioxide thin films by atomic layer deposition11
Topology of conductive clusters in sputtered high-quality VO2 thin films on the brink of percolation threshold during insulator-to-metal and metal-to-insulator transitions11
Substrate orientation dependent current transport mechanisms in β-Ga2O3/Si based Schottky barrier diodes11
Chemical vapor deposition of metallic films using plasma electrons as reducing agents11
Temperature dependent performance of ITO Schottky contacts on β-Ga2O311
Delta-doped β-(AlxGa1−x)2O3/Ga2O3 heterostructure field-effect transistors by ozone molecular beam epitaxy10
Raman scattering study of nanoscale Mo/Si and Mo/Be periodic multilayer structures10
Critical review of Ohmic and Schottky contacts to β-Ga2O310
Heterovalent semiconductor structures and devices grown by molecular beam epitaxy10
Van der Waals epitaxy and remote epitaxy of LiNbO3 thin films by pulsed laser deposition10
The chemistry and energetics of the interface between metal halide perovskite and atomic layer deposited metal oxides10
Effects of structured electrodes on electron power absorption and plasma uniformity in capacitive RF discharges10
Stability of hexafluoroacetylacetone molecules on metallic and oxidized nickel surfaces in atomic-layer-etching processes10
Nonlinear effects in low-energy ion sputtering of solids10
Strengths of plasmon satellites in XPS: Real-time cumulant approach10
Novel in situ sensing surface forces apparatus for measuring gold versus gold, hydrophobic, and biophysical interactions10
Perspective on improving the quality of surface and material data analysis in the scientific literature with a focus on x-ray photoelectron spectroscopy (XPS)10
Atomic layer etching of Si3N4 with high selectivity to SiO2 and poly-Si10
New approach for an industrial low-temperature roll-to-roll CI(G)Se hybrid sputter coevaporation deposition process10
International standardization and metrology as tools to address the comparability and reproducibility challenges in XPS measurements10
Epitaxial oxide thin films for oxygen electrocatalysis: A tutorial review10
Silver thin film deposited 3-dimensional gold nanorod arrays for plasmonic catalysis10
Searching for superconductivity in high entropy oxide Ruddlesden–Popper cuprate films10
Homoepitaxial GaN micropillar array by plasma-free photo-enhanced metal-assisted chemical etching10
Vapor deposition of quaternary ammonium methacrylate polymers with high antimicrobial activity: Synthetic route, toxicity assessment, and durability analysis10
Formation of MoS2 from elemental Mo and S using reactive molecular dynamics simulations10
Reactor scale simulations of ALD and ALE: Ideal and non-ideal self-limited processes in a cylindrical and a 300 mm wafer cross-flow reactor10
Patterning nickel for extreme ultraviolet lithography mask application I. Atomic layer etch processing10
Structural and optical properties of (Zn,Mn)O thin films prepared by atomic layer deposition9
Surface reaction of the hafnium precursor with a linked amido-cyclopentadienyl ligand: A density functional theory study9
Al Kα XPS reference spectra of polyethylene for all instrument geometries9
Anisotropic magnetoresistance and planar Hall effect in correlated and topological materials9
Effects of thickness and interlayer on optical properties of AlN films at room and high temperature9
Role of temperature on structure and electrical properties of titanium nitride films grown by low pressure plasma enhanced atomic layer deposition9
Epitaxial growth of high-k BaxSr1−xTiO3 thin films on SrTiO3 (001) substrates by atomic layer deposition9
Plasma enhanced atomic layer deposition of textured aluminum nitride on platinized substrates for MEMS9
Erratum: “Practical guides for x-ray photoelectron spectroscopy: First steps in planning, conducting, and reporting XPS measurements” [J. Vac. Sci. Technol. A 37, 031401 (2019)]9
Raman scattering, emission, and deep defect evolution in ZnO:In thin films9
Surface properties and interactions of transition metal oxide nanoparticles: A perspective on sustainability9
Large-scale synthesis of atomically thin ultrawide bandgap β-Ga2O3 using a liquid gallium squeezing technique9
Optical and mass spectrometric measurements of dissociation in low frequency, high density, remote source O2/Ar and NF3/Ar plasmas9
High-capacity rotary drum for atomic layer deposition onto powders and small mechanical parts in a hot-walled viscous flow reactor9
Operating modes and target erosion in high power impulse magnetron sputtering9
Low-pressure thermogravimetric analysis for finding sublimation temperatures for organic precursors in atomic/molecular layer deposition9
Continuous polymer films deposited on top of porous substrates using plasma-enhanced atomic layer deposition and molecular layer deposition9
Atomic layer deposition and characterization of Zn-doped Ga2O3 films9
Introduction to lateral resolution and analysis area measurements in XPS9
Mechanism for growth initiation on aminosilane-functionalized SiO2 during area-selective atomic layer deposition of ZrO28
On the response of gamma irradiation on atomic layer deposition-grown β-Ga2O3 films and Au-β-Ga2O3-Au deep ultraviolet solar-blind photodetectors8
Photoluminescence, thermoluminescence, and cathodoluminescence of optimized cubic Gd2O3:Bi phosphor powder8
Pervasive artifacts revealed from magnetometry measurements of rare earth-transition metal thin films8
Molecular beam epitaxy of KTaO38
New development of nanoscale spectroscopy using scanning probe microscope8
Achieving reproducible data: Examples from surface analysis in semiconductor technology8
Review of electrical contacts to phase change materials and an unexpected trend between metal work function and contact resistance to germanium telluride8
Fabrication of crystal plane oriented trenches in gallium nitride using SF6 + Ar dry etching and wet etching post-treatment8
Effect of substrate bias on microstructure of epitaxial film grown by HiPIMS: An atomistic simulation8
Review of recent studies on nanoscale electrical junctions and contacts: Quantum tunneling, current crowding, and interface engineering8
Vapor deposition of CsPbBr3 thin films by evaporation of CsBr and PbBr28
Electrical properties of p-type Zn:Ga2O3 thin films8
Synthesis and integration of thin film solid state electrolytes for 3D Li-ion microbatteries8
Nitrogen ion-implanted resistive regions for edge termination of vertical Ga2O3 rectifiers8
Effect of O2 plasma exposure time during atomic layer deposition of amorphous gallium oxide8
Atomic layer etching of SiO2 with self-limiting behavior on the surface modification step using sequential exposure of HF and NH38
Control of surface oxide formation in plasma-enhanced quasiatomic layer etching of tantalum nitride8
Practical guide on chemometrics/informatics in x-ray photoelectron spectroscopy (XPS). II. Example applications of multiple methods to the degradation of cellulose and tartaric acid8
Plasma-enhanced atomic layer deposited indium oxide film using a novel dimethylbutylamino-trimethylindium precursor for thin film transistors8
Atomic layer etching of metals with anisotropy, specificity, and selectivity8
Enhancing nanostructured nickel-rich lithium-ion battery cathodes via surface stabilization8
Atomic layer deposition and selective etching of ruthenium for area-selective deposition: Temperature dependence and supercycle design8
Area-selective chemical vapor deposition of cobalt from dicobalt octacarbonyl: Enhancement of dielectric-dielectric selectivity by adding a coflow of NH38
Atomic layer deposition of ruthenium using an ABC-type process: Role of oxygen exposure during nucleation8
Vapor phase infiltration of aluminum oxide into benzocyclobutene-based polymer dielectrics to increase adhesion strength to thin film metal interconnects8
7.5 kV, 6.2 GW cm−2 NiO/β-Ga2O3 vertical rectifiers with on–off ratio greater than 10138
Dry etching in the presence of physisorption of neutrals at lower temperatures7
Interface characteristics of β-Ga2O3/Al2O3/Pt capacitors after postmetallization annealing7
Atomic layer etching of Al2O3 with NF3 plasma fluorination and trimethylaluminum ligand exchange7
Properties of secondary particles for the reactive ion beam sputtering of Ti and TiO2 using oxygen ions7
Practical guide on chemometrics/informatics in x-ray photoelectron spectroscopy (XPS). I. Introduction to methods useful for large or complex datasets7
First principles study on the lattice thermal conductivity of α-phase Ga2O37
Oxygen incorporation in AlN films grown by plasma-enhanced atomic layer deposition7
Silicon nitride spacer etching selectively to silicon using CH3F/O2/He/SiCl4 plasma7
Molecular dynamics simulation of Si trench etching with SiO2 hard masks7
Underlying simplicity of 5f unoccupied electronic structure7
Packing of inhibitor molecules during area-selective atomic layer deposition studied using random sequential adsorption simulations7
Area-selective atomic layer deposition of molybdenum oxide7
Highly selective and vertical etch of silicon dioxide using ruthenium films as an etch mask7
Effect of pretreatment with ultrasonic vibration for plasma-processed multiwalled carbon nanotubes7
Ultrahigh aspect ratio etching of silicon in SF6-O2 plasma: The clear-oxidize-remove-etch (CORE) sequence and chromium mask7
Room-temperature and high-quality HfO2/SiO2 gate stacked film grown by neutral beam enhanced atomic layer deposition7
Various evolution trends of sample thickness in fluorocarbon film deposition on SiO27
Erosion of focus rings in capacitively coupled plasma etching reactors7
Functionalization ratio of isocyanate groups on plasma-processed multiwalled carbon nanotubes7
Properties of indium tin oxide thin films grown by Ar ion beam sputter deposition7
Utilizing plasma modified SnO2 paper gas sensors to better understand gas-surface interactions at low temperatures7
Precursor selection in hybrid molecular beam epitaxy of alkaline-earth stannates7
Spatially controlled stem cell differentiation via morphogen gradients: A comparison of static and dynamic microfluidic platforms7
Plasma enhanced atomic layer deposition of thin film Li1+xMn2−xO4 for realization of all solid-state 3D lithium-ion microbatteries7
X-ray photoelectron spectroscopy analysis of TiBx (1.3 ≤ x ≤ 3.0) thin films7
Pulsed laser annealing of amorphous two-dimensional transition metal dichalcogenides7
Atomic-layer etching of GaN by using an HBr neutral beam7
Techniques for epitaxial site-selective growth of quantum dots7
Correlating surface stoichiometry and termination in SrTiO3 films grown by hybrid molecular beam epitaxy7
Lab-based operando x-ray photoelectron spectroscopy for probing low-volatile liquids and their interfaces across a variety of electrosystems7
Deposition of sputtered NiO as a p-type layer for heterojunction diodes with Ga2O37
Microstructural and chemical analysis of polycrystalline LiNbO3 films obtained by room-temperature RF sputtering after various annealing durations7
Epitaxial growth of highly textured ZnO thin films on Si using an AlN buffer layer by atomic layer deposition7
Magnetic properties and resistive switching in mixture films and nanolaminates consisting of iron and silicon oxides grown by atomic layer deposition7
Atomic layer deposition of germanium-selenium-tellurium compounds for low-leakage, tunable ovonic threshold switches7
Control of ion energy during plasma enhanced atomic layer deposition: A new strategy for the modulation of TiN growth delay on SiO27
Focus ring geometry influence on wafer edge voltage distribution for plasma processes6
Energy-dense Li metal anodes enabled by thin film electrolytes6
High thickness uniformity of 2-in. wafer-scale β-Ga2O3 films grown by MOCVD and photoelectrical properties6
On the possible nature of deep centers in Ga2O36
Manipulation of thin metal film morphology on weakly interacting substrates via selective deployment of alloying species6
Selective atomic layer deposition on flexible polymeric substrates employing a polyimide adhesive as a physical mask6
Review of key vertical-cavity laser and modulator advances enabled by advanced MBE technology6
Variation in plutonium dioxide sputter yields for 1–5 keV Ar+ ions6
Direct current and high power impulse magnetron sputtering discharges with a positively biased anode6
Work function performance of a C12A7 electride surface exposed to low pressure low temperature hydrogen plasmas6
Influence of the carrier wafer during GaN etching in Cl2 plasma6
MOCVD growth and band offsets of κ-phase Ga2O3 on c-plane sapphire, GaN- and AlN-on-sapphire, and (100) YSZ substrates6
Thin film deposition research and its impact on microelectronics scaling6
Thermal induced depletion of cationic vacancies in NiO thin films evidenced by x-ray absorption spectroscopy at the O 1s threshold6
Correlation between SiO2 growth rate and difference in electronegativity of metal–oxide underlayers for plasma enhanced atomic layer deposition using tris(dimethylamino)silane precursor6
Role of organic molecules in enabling modern technology6
Design and implementation of floating field ring edge termination on vertical geometry β-Ga2O3 rectifiers6
Effect of drift layer doping and NiO parameters in achieving 8.9 kV breakdown in 100 μm diameter and 4 kV/4 A in 1 mm diameter NiO/β-Ga2O3 rectifiers6
Plasma enhanced atomic layer deposition of titanium nitride-molybdenum nitride solid solutions6
In vacuo atomic layer deposition and electron tunneling characterization of ultrathin dielectric films for metal/insulator/metal tunnel junctions6
XPS analysis and electrical conduction mechanisms of atomic layer deposition grown Ta2O5 thin films onto p-Si substrates6
Low-temperature plasma atomic layer etching of molybdenum via sequential oxidation and chlorination6
Controlling conduction band alignment and carrier concentration in gallium-doped magnesium zinc oxide by reactive cosputtering6
0.034465074539185