Journal of Vacuum Science & Technology A

Papers
(The median citation count of Journal of Vacuum Science & Technology A is 1. The table below lists those papers that are above that threshold based on CrossRef citation counts [max. 250 papers]. The publications cover those that have been published in the past four years, i.e., from 2020-11-01 to 2024-11-01.)
ArticleCitations
Practical guides for x-ray photoelectron spectroscopy (XPS): Interpreting the carbon 1s spectrum266
Assessment of the frequency and nature of erroneous x-ray photoelectron spectroscopy analyses in the scientific literature121
Practical guide to the use of backgrounds in quantitative XPS92
Thermal atomic layer etching: A review73
Many routes to ferroelectric HfO2: A review of current deposition methods73
Next generation nanopatterning using small molecule inhibitors for area-selective atomic layer deposition63
Ion implantation in β-Ga2O3: Physics and technology52
Introduction to topical collection: Reproducibility challenges and solutions with a focus on guides to XPS analysis47
Effect of metal contacts on (100) β-Ga2O3 Schottky barriers45
Deep level defect states in β-, α-, and ɛ-Ga2O3 crystals and films: Impact on device performance44
Effect of probe geometry during measurement of >100 A Ga2O3 vertical rectifiers34
Epitaxial integration of BaTiO3 on Si for electro-optic applications32
High responsivity solar-blind metal-semiconductor-metal photodetector based on α-Ga2O330
Diffusion of dopants and impurities in β-Ga2O330
Systematic compositional analysis of sputter-deposited boron-containing thin films29
Quantum dot lasers—History and future prospects27
Guide to XPS data analysis: Applying appropriate constraints to synthetic peaks in XPS peak fitting27
Magnetron sputtering25
Correlation between electrical conductivity and luminescence properties in β-Ga2O3:Cr3+ and β-Ga2O3:Cr,Mg single crystals24
Searching for superconductivity in high entropy oxide Ruddlesden–Popper cuprate films22
Conversion reactions in atomic layer processing with emphasis on ZnO conversion to Al2O3 by trimethylaluminum22
Critical review of Ohmic and Schottky contacts to β-Ga2O322
Impact of Cr2O3 additives on the gas-sensitive properties of β-Ga2O3 thin films to oxygen, hydrogen, carbon monoxide, and toluene vapors21
Microstructure and optical properties of sputter-deposited Ga2O3 films21
Accuracy limitations for composition analysis by XPS using relative peak intensities: LiF as an example21
Perspective on improving the quality of surface and material data analysis in the scientific literature with a focus on x-ray photoelectron spectroscopy (XPS)21
Surface damage formation during atomic layer etching of silicon with chlorine adsorption21
Atomic layer etching of GaN using Cl2 and He or Ar plasma20
β-(AlxGa1−x)2O3/Ga2O3 heterostructure Schottky diodes for improved VBR2/RON20
Thickness-dependent optical properties of aluminum nitride films for mid-infrared wavelengths20
Performance and reliability of β-Ga2O3 Schottky barrier diodes at high temperature20
Hard x-ray photoelectron spectroscopy of tunable oxide interfaces20
Mechanism of SiN etching rate fluctuation in atomic layer etching20
Thermal atomic layer etching of germanium-rich SiGe using an oxidation and “conversion-etch” mechanism20
Green CVD—Toward a sustainable philosophy for thin film deposition by chemical vapor deposition20
Thermal atomic layer etching of amorphous and crystalline Al2O3 films20
Factors influencing surface carbon contamination in ambient-pressure x-ray photoelectron spectroscopy experiments19
Epitaxial superconductor-semiconductor two-dimensional systems for superconducting quantum circuits19
Two-step growth of β-Ga2O3 films on (100) diamond via low pressure chemical vapor deposition19
In situ x-ray photoelectron spectroscopy analysis of electrochemical interfaces in battery: Recent advances and remaining challenges19
Area-selective molecular layer deposition of nylon 6,2 polyamide: Growth on carbon and inhibition on silica18
Atomic layer deposition of AlN using atomic layer annealing—Towards high-quality AlN on vertical sidewalls18
Effects of structured electrodes on electron power absorption and plasma uniformity in capacitive RF discharges18
Versailles Project on Advanced Materials and Standards interlaboratory study on intensity calibration for x-ray photoelectron spectroscopy instruments using low-density polyethylene17
Insight into the removal and reapplication of small inhibitor molecules during area-selective atomic layer deposition of SiO217
Interface structure and luminescence properties of epitaxial PbSe films on InAs(111)A17
N-type doping of low-pressure chemical vapor deposition grown β-Ga2O3 thin films using solid-source germanium17
Effect of drift layer doping and NiO parameters in achieving 8.9 kV breakdown in 100 μm diameter and 4 kV/4 A in 1 mm diameter NiO/β-Ga2O3 rectifiers17
Universal scaling relationship for atomic layer etching16
Design of Ga2O3 modulation doped field effect transistors16
Chemical significance of x-ray photoelectron spectroscopy binding energy shifts: A Perspective16
Modified atomic layer deposition of MoS2 thin films16
Homoepitaxial GaN micropillar array by plasma-free photo-enhanced metal-assisted chemical etching16
Ultrahigh purity conditions for nitride growth with low oxygen content by plasma-enhanced atomic layer deposition16
Band offsets at metalorganic chemical vapor deposited β-(AlxGa1−x)2O3/β-Ga2O3 interfaces—Crystalline orientation dependence16
Selective generation of reactive oxygen species in plasma-activated water using CO2 plasma15
Wafer-level uniformity of atomic-layer-deposited niobium nitride thin films for quantum devices15
Substrate orientation dependent current transport mechanisms in β-Ga2O3/Si based Schottky barrier diodes15
Evaluation of TiO2 and ZnO atomic layer deposition coated polyamide 66 fabrics for photocatalytic activity and antibacterial applications15
7.5 kV, 6.2 GW cm−2 NiO/β-Ga2O3 vertical rectifiers with on–off ratio greater than 101314
Delta-doped β-(AlxGa1−x)2O3/Ga2O3 heterostructure field-effect transistors by ozone molecular beam epitaxy14
Novel in situ sensing surface forces apparatus for measuring gold versus gold, hydrophobic, and biophysical interactions14
Reactor scale simulations of ALD and ALE: Ideal and non-ideal self-limited processes in a cylindrical and a 300 mm wafer cross-flow reactor13
Heteroepitaxial growth of Ga2O3 on 4H-SiC by liquid-injection MOCVD for improved thermal management of Ga2O3 power devices13
Atomic layer etching of Si3N4 with high selectivity to SiO2 and poly-Si13
Plasma-enhanced atomic layer deposited indium oxide film using a novel dimethylbutylamino-trimethylindium precursor for thin film transistors13
Temperature dependent performance of ITO Schottky contacts on β-Ga2O313
Sticking coefficients of selenium and tellurium13
Electrical properties of p-type Zn:Ga2O3 thin films13
Heterovalent semiconductor structures and devices grown by molecular beam epitaxy13
Atomic layer etching of SiO2 with self-limiting behavior on the surface modification step using sequential exposure of HF and NH312
Packing of inhibitor molecules during area-selective atomic layer deposition studied using random sequential adsorption simulations12
Dry etching in the presence of physisorption of neutrals at lower temperatures12
Atomic layer deposition and characterization of Zn-doped Ga2O3 films12
Van der Waals epitaxy and remote epitaxy of LiNbO3 thin films by pulsed laser deposition12
ToF-SIMS in battery research: Advantages, limitations, and best practices12
Review of major technologies improving surface performances of Ti alloys for implant biomaterials12
Deposition of sputtered NiO as a p-type layer for heterojunction diodes with Ga2O312
Hf1−xZrxO2 and HfO2/ZrO2 gate dielectrics with extremely low density of interfacial defects using low temperature atomic layer deposition on GaN and InP12
Temperature dependence of on–off ratio and reverse recovery time in NiO/β-Ga2O3 heterojunction rectifiers12
Molecular beam epitaxy of KTaO312
Al Kα XPS reference spectra of polyethylene for all instrument geometries11
First principles study on the lattice thermal conductivity of α-phase Ga2O311
Oxidative molecular layer deposition of PEDOT using volatile antimony(V) chloride oxidant11
Etching with electron beam-generated plasmas: Selectivity versus ion energy in silicon-based films11
Highly selective and vertical etch of silicon dioxide using ruthenium films as an etch mask11
Engineering ordered arrangements of oxygen vacancies at the surface of superconducting La2CuO4 thin films11
Strengths of plasmon satellites in XPS: Real-time cumulant approach11
Molecular dynamics simulation of Si trench etching with SiO2 hard masks11
Molecular dynamics simulation of oxide-nitride bilayer etching with energetic fluorocarbon ions11
Probing copper-boron interactions in the Cu2B8− bimetallic cluster11
Anisotropic magnetoresistance and planar Hall effect in correlated and topological materials11
Hydrogen permeation barriers and preparation techniques: A review11
Epitaxial oxide thin films for oxygen electrocatalysis: A tutorial review11
Operating modes and target erosion in high power impulse magnetron sputtering10
Germanium dioxide: A new rutile substrate for epitaxial film growth10
Area-selective atomic layer deposition enabled by competitive adsorption10
Atomic layer deposition and selective etching of ruthenium for area-selective deposition: Temperature dependence and supercycle design10
Mechanism for growth initiation on aminosilane-functionalized SiO2 during area-selective atomic layer deposition of ZrO210
Plasma enhanced atomic layer deposition of textured aluminum nitride on platinized substrates for MEMS10
Erratum: “Practical guides for x-ray photoelectron spectroscopy: First steps in planning, conducting, and reporting XPS measurements” [J. Vac. Sci. Technol. A 37, 031401 (2019)]10
On the response of gamma irradiation on atomic layer deposition-grown β-Ga2O3 films and Au-β-Ga2O3-Au deep ultraviolet solar-blind photodetectors10
Erosion of focus rings in capacitively coupled plasma etching reactors10
Effect of O2 plasma exposure time during atomic layer deposition of amorphous gallium oxide10
Rhombohedral boron nitride epitaxy on ZrB210
Atmospheric pressure plasma functionalization of polystyrene10
Effect of capping layers on the near-surface region of SrVO3 films10
Influence of the carrier wafer during GaN etching in Cl2 plasma10
Nitrogen ion-implanted resistive regions for edge termination of vertical Ga2O3 rectifiers9
MOCVD growth and band offsets of κ-phase Ga2O3 on c-plane sapphire, GaN- and AlN-on-sapphire, and (100) YSZ substrates9
Effects of thickness and interlayer on optical properties of AlN films at room and high temperature9
Oxygen incorporation in AlN films grown by plasma-enhanced atomic layer deposition9
Controlled thin-film deposition of α or β Ga2O3 by ion-beam sputtering9
Vapor deposition of CsPbBr3 thin films by evaporation of CsBr and PbBr29
Modification of β-gallium oxide electronic properties by irradiation with high-energy electrons9
On the possible nature of deep centers in Ga2O39
Correlating surface stoichiometry and termination in SrTiO3 films grown by hybrid molecular beam epitaxy9
Large-scale synthesis of atomically thin ultrawide bandgap β-Ga2O3 using a liquid gallium squeezing technique9
Photoluminescence spectroscopy of Cr3+ in β-Ga2O3 and (Al0.1Ga0.9)2O39
Surface reaction of the hafnium precursor with a linked amido-cyclopentadienyl ligand: A density functional theory study9
Degradation of methylene blue by pulsed nanosecond discharge in Ar, O2, and N2 gaseous bubbles in water: Evaluation of direct and postprocessing modes9
Techniques for epitaxial site-selective growth of quantum dots9
Work function performance of a C12A7 electride surface exposed to low pressure low temperature hydrogen plasmas9
Practical guide on chemometrics/informatics in x-ray photoelectron spectroscopy (XPS). II. Example applications of multiple methods to the degradation of cellulose and tartaric acid9
Review of recent studies on nanoscale electrical junctions and contacts: Quantum tunneling, current crowding, and interface engineering9
Angular distribution of titanium ions and neutrals in high-power impulse magnetron sputtering discharges9
Mitigating residual stress of high temperature CVD diamond films on vanadium carbide coated steel8
Plasma-enhanced atomic layer deposition of SiO2 film using capacitively coupled Ar/O2 plasmas: A computational investigation8
X-ray photoelectron spectroscopy analysis of TiBx (1.3 ≤ x ≤ 3.0) thin films8
Theoretical study of the adsorption of Lewis acids on MoS2 in relation to atomic layer deposition of Al2O38
Interface characteristics of β-Ga2O3/Al2O3/Pt capacitors after postmetallization annealing8
Various evolution trends of sample thickness in fluorocarbon film deposition on SiO28
Epitaxial growth of highly textured ZnO thin films on Si using an AlN buffer layer by atomic layer deposition8
Insufficient reporting of x-ray photoelectron spectroscopy instrumental and peak fitting parameters (metadata) in the scientific literature8
Sympetalous defects in metalorganic vapor phase epitaxy (MOVPE)-grown homoepitaxial β-Ga2O3 films8
Thermodynamics and kinetics of H adsorption and intercalation for graphene on 6H-SiC(0001) from first-principles calculations8
Atomic layer etching of Al2O3 with NF3 plasma fluorination and trimethylaluminum ligand exchange8
Voltage waveform tailoring for high aspect ratio plasma etching of SiO2 using Ar/CF4/O2 mixtures: Consequences of ion and electron distributions on etch profiles8
Ultrawide bandgap vertical β-(AlxGa1−x)2O3 Schottky barrier diodes on free-standing β-Ga2O3 substrates8
Erratum: “Practical guide for curve fitting in x-ray photoelectron spectroscopy” [J. Vac. Sci. Technol. A 38, 061203 (2020)]8
Machine learning approach to thickness prediction fromin situspectroscopic ellipsometry data for atomic layer deposition processes8
Enhancing nanostructured nickel-rich lithium-ion battery cathodes via surface stabilization8
Model analysis of the feature profile evolution during Si etching in HBr-containing plasmas8
Room-temperature and high-quality HfO2/SiO2 gate stacked film grown by neutral beam enhanced atomic layer deposition8
Practical guide on chemometrics/informatics in x-ray photoelectron spectroscopy (XPS). I. Introduction to methods useful for large or complex datasets8
Low-temperature plasma atomic layer etching of molybdenum via sequential oxidation and chlorination8
Deposit and etchback approach for ultrathin Al2O3 films with low pinhole density using atomic layer deposition and atomic layer etching7
Properties of indium tin oxide thin films grown by Ar ion beam sputter deposition7
Vacuum ultraviolet enhanced atomic layer etching of ruthenium films7
Modification of a force field for molecular dynamics simulations of silicon etching by chlorine atoms7
Surface ligand removal in atomic layer deposition of GaN using triethylgallium7
Oxygen-induced surface reconstructions on curved Ag(111)7
Epitaxial growth of 3C-SiC film by microwave plasma chemical vapor deposition in H2-CH4-SiH4 mixtures: Optical emission spectroscopy study7
Functional plasma-sprayed hydroxylapatite coatings for medical application: Clinical performance requirements and key property enhancement7
Metalorganic chemical vapor deposition of (100) β-Ga2O3 on on-axis Ga2O3 substrates7
High thickness uniformity of 2-in. wafer-scale β-Ga2O3 films grown by MOCVD and photoelectrical properties7
Wettability and corrosion resistance of zirconium nitride films obtained via reactive high-power impulse magnetron sputtering7
Nanosecond pulsed plasma discharge for remediation of simulated wastewater containing thiazine and azo dyes as model pollutants7
Hollow cathode plasma electron source for low temperature deposition of cobalt films by electron-enhanced atomic layer deposition7
Investigation of mechanical and microstructural properties of sputter-deposited Zr-Ni3Al coatings7
Manipulation of thin metal film morphology on weakly interacting substrates via selective deployment of alloying species7
Evaluation of the accuracy of stopping and range of ions in matter simulations through secondary ion mass spectrometry and Rutherford backscattering spectrometry for low energy heavy ion implantation7
Polymethylmethacrylate wettability change spatially correlates with self-organized streamer microdischarge patterns in dielectric barrier discharge plasmas7
Underlying simplicity of 5f unoccupied electronic structure7
Atomic layer deposition onto fabrics of carbon and silicon carbide fibers: Preparation of multilayers comprising alumina, titania-furfuryl alcohol hybrid, and titanium phosphate7
Innovative remote plasma source for atomic layer deposition for GaN devices7
Plasma enhanced atomic layer deposition of thin film Li1+xMn2−xO4 for realization of all solid-state 3D lithium-ion microbatteries7
Target ion and neutral spread in high power impulse magnetron sputtering7
Approaching ultrathin VO2 films on sapphire (001) substrates by biased reactive sputtering: Characteristic morphology and its effect on the infrared-light switching7
Design and implementation of floating field ring edge termination on vertical geometry β-Ga2O3 rectifiers7
Direct current and high power impulse magnetron sputtering discharges with a positively biased anode7
Structure evolution and mechanical properties of co-sputtered Zr-Al-B2 thin films7
Relationship between local coordinates and thermal conductivity in amorphous carbon7
Phase transition behavior in nanostructured VO2 with M1, M2, and R phases observed via temperature-dependent XRD measurements7
Deep UV AlGaN LED reliability for long duration space missions7
Precursor selection in hybrid molecular beam epitaxy of alkaline-earth stannates7
In-Cu alloy substrates for low-temperature chemical vapor deposition of Mo2C7
Control of ion energy during plasma enhanced atomic layer deposition: A new strategy for the modulation of TiN growth delay on SiO27
Controlling conduction band alignment and carrier concentration in gallium-doped magnesium zinc oxide by reactive cosputtering7
Molecular dynamics study of SiO2 nanohole etching by fluorocarbon ions7
Ultrathin stable Ohmic contacts for high-temperature operation of β-Ga2O3 devices7
Adsorption and reaction kinetics of SO2 on graphene: An ultrahigh vacuum surface science study7
Challenges in porosity characterization of thin films: Cross-evaluation of different techniques6
Review of key vertical-cavity laser and modulator advances enabled by advanced MBE technology6
Plasma enhanced atomic layer deposition and atomic layer etching of gallium oxide using trimethylgallium6
Properties of secondary ions in ion beam sputtering of Ga2O36
Kinetics of the low-pressure chemical vapor deposited tungsten nitride process using tungsten hexafluoride and ammonia precursors6
Radical probe system for in situ measurements of radical densities of hydrogen, oxygen, and nitrogen6
Disclosing the response of the surface electronic structure in SrTiO3 (001) to strain6
Cryogenic nanoscale etching of silicon nitride selectively to silicon by alternating SiF4/O2 and Ar plasmas6
Real-time monitoring of atomic layer etching in Cl2/Ar pulsed gas, pulsed power plasmas by optical emission spectroscopy6
Impact of chemical bonding difference of ALD Mo on SiO2 and Al2O3 on the effective work function of the two gate stacks6
Control of etch profiles in high aspect ratio holes via precise reactant dosing in thermal atomic layer etching6
Characterizations of two-dimensional materials with cryogenic ultrahigh vacuum near-field optical microscopy in the visible range6
Atomic layer deposition of sodium fluoride thin films6
Plasma-assisted gas-phase aggregation of clusters for functional nanomaterials6
Study of SnO/ɛ-Ga2O3 pn diodes in planar geometry6
Synthesis and electrical behavior of VO2 thin films grown on SrRuO3 electrode layers6
Gas-phase surface functionalization of SiNx with benzaldehyde to increase SiO2 to SiNx etch selectivity in atomic layer etching6
Focus ring geometry influence on wafer edge voltage distribution for plasma processes6
Comprehensive ion-molecule reactive collision model for processing plasmas6
Atomic layer deposition of TbF3 thin films6
Growth and characterization of II-VI semiconductor multilayer quantum-well structures for two-color quantum well infrared photodetector applications6
Self-assembled vertically aligned nanocomposite systems integrated on silicon substrate: Progress and future perspectives6
Evaluation of nickel self-sputtering yields by molecular-dynamics simulation6
Atmospheric pressure plasma reduction of copper oxide to copper metal6
Selective atomic layer deposition on flexible polymeric substrates employing a polyimide adhesive as a physical mask6
Radio-frequency magnetron sputter deposition of ultrathick boron carbide films6
Conductive TiN thin films grown by plasma-enhanced atomic layer deposition: Effects of N-sources and thermal treatments6
Thermal versus radiation-assisted defect annealing in β-Ga2O36
Acquisition and analysis of scanning tunneling spectroscopy data—WSe2 monolayer6
Modeling atomic layer deposition process parameters to achieve dense nanocrystal-based nanocomposites6
Deposition of tungsten oxide films by reactive magnetron sputtering on different substrates6
Plasma enhanced atomic layer deposition of titanium nitride-molybdenum nitride solid solutions6
Properties of Schottky barrier diodes on heteroeptixial α-Ga2O3 thin films6
Molecular beam epitaxy of polar III-nitride resonant tunneling diodes6
Epitaxial growth of the first two members of the Ban+1InnO2.5n+1 Ruddlesden–Popper homologous series6
Low-temperature atomic layer deposition of indium oxide thin films using trimethylindium and oxygen plasma5
X-ray spectroscopic identification of strain and structure-based resonances in a series of saturated carbon-cage molecules: Adamantane, twistane, octahedrane, and cubane5
Two step synthesis of ultrathin transition metal tellurides5
High temperature isotropic and anisotropic etching of silicon carbide using forming gas5
Characteristics of carbon-containing low-k dielectric SiCN thin films deposited via remote plasma atomic layer deposition5
Atomic layer deposition of GdF3 thin films5
Spin- and time-resolved photoelectron spectroscopy and diffraction studies using time-of-flight momentum microscopes5
Ga+-focused ion beam damage in n-type Ga2O35
Growth and characterization of α-Ga2O3 on sapphire and nanocrystalline β-Ga2O3 on diamond substrates by halide vapor phase epitaxy5
Effects of frequency and pulse width on electron density, hydrogen peroxide generation, and perfluorooctanoic acid mineralization in a nanosecond pulsed discharge gas-liquid plasma reactor5
Area-selective atomic layer deposition of Al2O3 on SiNx with SiO2 as the nongrowth surface5
Thermal atomic layer etching of cobalt using sulfuryl chloride for chlorination and tetramethylethylenediamine or trimethylphosphine for ligand addition5
Shake loss intensities in x-ray photoelectron spectroscopy: Theory, experiment, and atomic composition accuracy for MgO and related compounds5
Propagation of nanosecond plasmas in liquids—Streamer velocities and streamer lengths5
Harmonic suppression and uniformity improvement of plasma density in capacitively coupled plasma5
Thermal stability of band offsets of NiO/GaN5
Material properties and performance of ErAs:In(Al)GaAs photoconductors for 1550 nm laser operation5
Impact of precursor concentration on the properties of perovskite solar cells obtained from the dehydrated lead acetate precursors5
Crystallinity degradation and defect development in (AlxGa1−x)2O3 thin films with increased Al composition5
Area selective deposition of ruthenium on 3D structures5
High resolution depth profiling using near-total-reflection hard x-ray photoelectron spectroscopy5
Design of line seeds for glancing angle deposition5
Scaling of atomic layer etching of SiO2 in fluorocarbon plasmas: Transient etching and surface roughness5
Hybrid molecular beam epitaxy growth of BaTiO3 films5
Spontaneous etching of B2O3 by HF gas studied using infrared spectroscopy, mass spectrometry, and density functional theory5
In situ ellipsometry aided rapid ALD process development and parameter space visualization of cerium oxide nanofilms5
Multiple carrier transport in high-quality α-Sn films grown on CdTe (001) by molecular beam epitaxy5
In situ studies on atomic layer etching of aluminum oxide using sequential reactions with trimethylaluminum and hydrogen fluoride5
Desorption characteristics of selenium and tellurium thin films5
Low temperature Topographically Selective Deposition by Plasma Enhanced Atomic Layer Deposition with ion bombardment assistance5
Aminosilane small molecule inhibitors for area-selective deposition: Study of substrate-inhibitor interfacial interactions5
Functionalized carbon nanotubes for thermionic emission and cooling applications5
NiO/β-(AlxGa1−x)2O3/Ga2O3 heterojunction lateral rectifiers with reverse breakdown voltage >7 kV5
0.33377003669739