Plasma Chemistry and Plasma Processing

Papers
(The median citation count of Plasma Chemistry and Plasma Processing is 2. The table below lists those papers that are above that threshold based on CrossRef citation counts [max. 250 papers]. The publications cover those that have been published in the past four years, i.e., from 2020-03-01 to 2024-03-01.)
ArticleCitations
Decomposition of Toluene with a Combined Plasma Photolysis (CPP) Reactor: Influence of UV Irradiation and Byproduct Analysis97
Synthesis and Characterization of Oxygen Vacancy Induced Narrow Bandgap Tungsten Oxide (WO3−x) Nanoparticles by Plasma Discharge in Liquid and Its Photocatalytic Activity78
Novel insight at the Effect of Cold Atmospheric Pressure Plasma on the Activity of Enzymes Essential for the Germination of Pea (Pisum sativum L. cv. Prophet) Seeds42
Influence of Operating Parameters on Plasma-Assisted Dry Reforming of Methane in a Rotating Gliding Arc Reactor40
Investigation of Physicochemical Properties of Plasma Activated Water and its Bactericidal Efficacy38
Influence of Cold Atmospheric Pressure Plasma on Pea Seeds: DNA Damage of Seedlings and Optical Diagnostics of Plasma37
Thermal Plasma Treatment of Medical Waste36
Transient Spark Discharge Generated in Various N2/O2 Gas Mixtures: Reactive Species in the Gas and Water and Their Antibacterial Effects35
Antibiotics Degradation and Bacteria Inactivation in Water by Cold Atmospheric Plasma Discharges Above and Below Water Surface30
Mn-Based Catalysts for Post Non-Thermal Plasma Catalytic Abatement of VOCs: A Review on Experiments, Simulations and Modeling24
Gasification of Municipal Solid Wastes in Plasma Arc Medium24
Treatment of Pea Seeds with Plasma Activated Water to Enhance Germination, Plant Growth, and Plant Composition21
Generation Characteristics of Long-Lived Active Species in a Water Falling Film DBD Reactor19
Combined Effects of Air Plasma Seed Treatment and Foliar Application of Plasma Activated Water on Enhanced Paddy Plant Growth and Yield19
Atmospheric Pressure Cold Plasma as a Potential Technology to Degrade Carbamate Residues in Water19
Inactivation of Candida albicans and Lemon (Citrus limon) Spoilage Fungi Using Plasma Activated Water19
Scaling Up of Non-Thermal Gliding Arc Plasma Systems for Industrial Applications18
The Production of Plasma Activated Water in Controlled Ambient Gases and its Impact on Cancer Cell Viability18
Discussion of the Effect of Shielding Gas and Conductivity of Vapor Core on Metal Transfer Phenomena in Gas Metal Arc Welding by Numerical Simulation18
Germination Improvement of Three Pine Species (Pinus) After Diffuse Coplanar Surface Barrier Discharge Plasma Treatment18
Atmospheric Pressure Dielectric Barrier Discharges for the Deposition of Organic Plasma Polymer Coatings for Biomedical Application18
Effects of Oxygen (O2) Plasma Treatment in Promoting the Germination and Growth of Chili16
Non-thermal Plasma Activated Water for Increasing Germination and Plant Growth of Lactuca sativa L16
Atmospheric Pressure Non-thermal Plasma for Air Purification: Ions and Ionic Reactions Induced by dc+ Corona Discharges in Air Contaminated with Acetone and Methanol16
Relative Potential of Different Plasma Forming Gases in Degradation of Rhodamine B Dye by Microplasma Treatment and Evaluation of Reuse Prospectus for Treated Water as Liquid Fertilizer15
Efficient Degradation of Styrene in a Nonthermal Plasma–Catalytic System Over Pd/ZSM-5 Catalyst15
The Effects of Pulse Shape on the Selectivity and Production Rate in Non-oxidative Coupling of Methane by a Micro-DBD Reactor15
Application of Oil-in-Water Nanoemulsion Carrying Size-Defined Gold Nanoparticles Synthesized by Non-thermal Plasma for the Human Breast Cancer Cell Lines Migration and Apoptosis14
Identifying Regimes During Plasma Catalytic Ammonia Synthesis14
Surface Modification of Polytetrafluoroethylene by Atmospheric Pressure Plasma-Grafted Polymerization14
H2S Decomposition into H2 and S2 by Plasma Technology: Comparison of Gliding Arc and Microwave Plasma13
Pilot-Scale NOx and SOx Aftertreatment by Semi-Dry Plasma-Chemical Hybrid Process in Glass-Melting-Furnace Exhaust Gas13
Recent Development of Technology in Scale-up of Plasma Reactors for Environmental and Energy Applications13
Improvement of Seed Germination Rate, Agronomic Traits, Enzymatic Activity and Nutritional Composition of Bread Wheat (Triticum aestivum) Using Low-Frequency Glow Discharge Plasma13
Two-Temperature Chemical Non-equilibrium Modeling of Argon DC Arc Plasma Torch13
Phase-Resolved Measurement of Atmospheric-Pressure Radio-Frequency Pulsed Discharges in Ar/CH4/CO2 Mixture13
Plasma-Assisted ALD of Highly Conductive HfNx: On the Effect of Energetic Ions on Film Microstructure12
Graph Theory Applied to Plasma Chemical Reaction Engineering12
Plasma-Chemical Synthesis of Lead Sulphide Thin Films for Near-IR Photodetectors12
Influence of Electrode Interval and Barrier Thickness in the Segmented Electrode Micro-plasma DBD Reactor on CO2 Decomposition12
Experimental and Numerical Analysis of a Reverse-polarity Plasma Torch for Plasma Atomization12
Exploring the Effects of Placement and Electron Angular Distribution on Two Adjacent Mask Holes During Plasma Etching Process11
Synergistic Effect of Co–Ni Bimetal on Plasma Catalytic Ammonia Synthesis11
Optimization of TiO2 Mesoporous Photoanodes Prepared by Inkjet Printing and Low-Temperature Plasma Processing11
Comparison of Chemistry Induced by Direct and Indirect Plasma Treatment of Water to the Effect of UV Radiation11
N-Decane Reforming by Gliding Arc Plasma in Air and Nitrogen11
Growth Enhancement of Radish Seed Induced by Low-Temperature Argon Plasma11
Numerical and Experimental Investigation on the Effects of a Nozzle Attachment to Plasma Torches for Plasma Atomization10
Spatial Variations of Plasma Parameters in a Hollow Cathode Discharge10
Plasma Parameters and Silicon Etching Kinetics in C4F8 + O2 + Ar Gas Mixture: Effect of Component Mixing Ratios10
Molecular Species Generated by Surface Dielectric Barrier Discharge Micro-plasma in Small Chambers Enclosing Atmospheric Air and Water Samples10
Synthesis and Characterization of Titanium Oxide Nanoparticles by Plasma in Contact with Liquid10
Influence of Operating Parameters, Al2O3 and Ni/Al2O3 Catalysts on Plasma-Assisted CO2 Reforming of CH4 in a Parallel Plate Dielectric Barrier Discharge for High H2/CO Ratio Syngas Production9
Investigation of Hydrogen Peroxide Formation After Underwater Plasma Discharge9
Activated Carbon Modified by Nanosecond Pulsed Discharge for Polycyclic Aromatic Hydrocarbons Detection9
Development of a High-Efficiency Decomposition Technology for Volatile Chemical Warfare Agent Sarin Using Dielectric Barrier Discharge9
Efficient Corona Discharge Fog Collector: Multiple Mesh Electrodes with Electric Field Enhances Fog Harvesting9
Influence of Potable Water Origin on the Physicochemical and Antimicrobial Properties of Plasma Activated Water9
Quantitative Analysis of Coal by Laser-Induced Breakdown Spectroscopy Using TEA CO2 Laser as the Excitation Source9
Localized Electric Field Enhanced Streamer Cold Plasma Interaction on Biological Curved Surfaces and Its Shadow Effect9
A Comparison of CF4, CHF3 and C4F8 + Ar/O2 Inductively Coupled Plasmas for Dry Etching Applications8
Synergy of NTP-La1-xAgxMn1-yCoyO3-δ Hybrid for Soot Catalytic Combustion at Low Temperature8
Biological Effects of Cold Atmospheric Pressure Plasma on Skin Cancer8
Numerical and Experimental Study of the Multichannel Nature of the Synthesis of Carbon Nanostructures in DC Plasma Jets8
The Effect of Low-Pressure Dielectric Barrier Discharge (LPDBD) Plasma in Boosting Germination, Growth, and Nutritional Properties in Wheat8
Effect of Low Temperature Plasma Treatment on Biological Characteristics and Yield Components of Wheat Seeds (Triticum aestivum L.)8
Impact of Short Time Atmospheric Plasma Treatment on Onion Seeds8
Enhancement Propagation of Protocorms in Orchid (Cymbidium tracyanum L. Castle) by Cold Atmospheric Pressure Air Plasma Jet8
Selective Synthesis of 2D Mesoporous CuO Agglomerates by Pulsed Spark Discharge in Water8
Theoretical Analysis of the Decomposition Pathways and Species of Environmentally Friendly Insulation Gas C6F12O Based on the DFT and TST8
High Efficiency Removal of Sulfur Mustard Surrogate by Cold Plasma Jet7
Nitrogen Fixation as NOx Enabled by a Three-Level Coupled Rotating Electrodes Air Plasma at Atmospheric Pressure7
Air to H2-N2 Pulse Plasma Jet for In-Vitro Plant Tissue Culture Process: Source Characteristics7
The Effect of Air Plasma Activated Liquid on Uropathogenic Bacteria7
Modeling of Atmospheric-Pressure Dielectric Barrier Discharges in Argon with Small Admixtures of Tetramethylsilane7
Red Mud Packed Surface Discharge Reactor for Nox/THC Removal: Exploring Plasma Catalysis of Diesel Exhaust7
Thermal Plasma Synthesis of Li2S Nanoparticles for Application in Lithium-Sulfur Batteries7
Cold Atmospheric Plasma Brush Effect on Population Reduction of Different Bacterial Spectrums7
Non-thermal Plasma as a Priming Tool to Improve the Yield of Pea in Outdoor Conditions7
Synthesis of Silicon and Silicon Carbide Nanoparticles by Pulsed Electrical Discharges in Dielectric Liquids7
Responses of Rice Seed Quality to Large-Scale Atmospheric Nonthermal Plasmas7
Study on the Performance of NTP with Wood Fiber in NO Removal7
Production of Nickel by Cold Hydrogen Plasma7
Investigation of Non-thermal Plasma Assisted Combustion of Solid Biomass Fuels: Effects on Flue Gas Composition and Efficiency7
Improvement of the Bioactivity of UHMWPE by Two Different Atmospheric Plasma Treatments7
Nitrite and Nitrate Production by NO and NO2 Dissolution in Water Utilizing Plasma Jet Resembling Gas Flow Pattern6
How Hydrogen Admixture Changes Plasma Jet Characteristics in Spray Processes at Low Pressure6
Synthesis of Carbon Nanohorns by Inductively Coupled Plasma6
Amination of Cyclohexane by Dielectric Barrier Discharge Processing in a Continuous Flow Microreactor: Experimental and Simulation Studies6
Degradation of Benzene by a Falling Film Gas–Liquid Phase DBD Plasma with Persulfate6
Effect of Cold Atmospheric Plasma Jet and Gamma Radiation Treatments on Gingivobuccal Squamous Cell Carcinoma and Breast Adenocarcinoma Cells6
Laser Induced Dielectric Breakdown for Chemical Vapor Deposition by Hydrogen Reduction of Volatile Boron Halides BCl3 and BF36
Chemical Kinetics of Unsymmetrical Dimethylhydrazine (UDMH) Degradation in Wastewater by ·OH Radical6
Dominant Heat Transfer Mechanisms in the GTAW Plasma Arc Column6
The Effect of Discharge Frequency of a Gas–Liquid Plasma Reactor on Bulk Liquid Transport and Removal of Organic Contaminants6
Air Supply Mode Effects on Ozone Production of Surface Dielectric Barrier Discharge in a Cylindrical Configuration6
Experimental Study on the Restrike Mode of a DC Arc Anode Attachment6
Enhanced In situ Activity of Peroxidases and Lignification of Root Tissues after Exposure to Non-Thermal Plasma Increases the Resistance of Pea Seedlings6
Effect of Intermittent Injection of Ar/CH4 Quenching Gas on Particle Composition and Size of Si/C Nanoparticles Synthesized by Modulated Induction Thermal Plasma6
Quantitative Multi-Element Analysis in Soil Using 532 nm and 1064 nm Lasers in LIBS Technique6
Residual Layer Removal of Technical Glass Resulting from Reactive Atmospheric Plasma Jet Etching by Pulsed Laser Irradiation6
Collision Integrals for Nitrogen and Hydrogen Ionized Gas: The Exact Values and Assessment of Approximations5
Stimulating Effects of Plasma Activated Water on Growth, Biochemical Activity, Nutritional Composition and Yield of Potato (Solanum tuberosum L.)5
Selective Killing Effects of Atmospheric Pressure Plasma Jet on Human Melanoma and Lewis Lung Carcinoma Cells5
The Use of a Novel Three-Electrode Impulse Underwater Discharge for the Synthesis of W-Mo Mixed Oxide Nanocomposites5
The Effects of Graphite Particles on arc Plasma Characteristics5
On the Mechanism of Ionic-Cluster Excitation of Argon Levels in Molecular Gas Mixtures5
Development and Optimization of Single Filament Plasma Jets for Wastewater Decontamination5
Production of Graphite During the Extinguishing Arc with New SF6 Alternative Gases5
Excitation Frequency Effect on Breast Cancer Cell Death by Atmospheric Pressure Cold Plasma5
The Chemical Composition of Species Formed in a Water Anode Under the Action of a Direct Current Electric Discharge: Comparison with Liquid Cathode—Experiment and Simulation5
Ionization and Electronic State Excitation of CO2 in Radio-frequency Electric Field5
Solution Plasma Processing as an Environmentally Friendly Method for Low-Molecular Chitosan Production5
Hydrodeoxygenation of Guaiacol to Aromatic Hydrocarbons over Mo2C Prepared in Nonthermal Plasma5
Analysis of the Ion Conversion Mechanisms in the Effluent of Atmospheric Pressure Plasma Jets in Ar with Admixtures of O2, H2O and Air4
N2/H2 Non-thermal Transferred arc Plasma Nitriding Treatment of Stainless Steel at Atmospheric Pressure4
Oxygen Removal from a Hydrocarbon Containing Gas Stream by Plasma Catalysis4
Enhanced Fruiting Body Production and Bioactive Phytochemicals from White Cordyceps militaris by Blending Cordyceps militaris and Using Cold Plasma Jet4
Plasma Assisted Aluminothermic Reduction of Cr and Fe Oxides from Chromium Bearing Waste4
Corona Electric Discharge as a Source of Chemically Active Species4
Enhancement of Growth, Enzymes, Nutrition and Yield of Eggplant: Combined Effects of Plasma Treatments4
Effect of Plasma Activated Water in Caries Prevention: The Caries Related Biofilm Inhibition Effects and Mechanisms4
Features of the Impact of Pulsed Radiation of Hot Plasma on Water and Aqueous Solutions4
Effect of Applied Voltage on Localized Deposition of Silicon Dioxide-like Films on Stainless Steel Using Atmospheric Pressure Microplasma Jet4
Numerical Simulation of the Effect of Annular Boss Structure on DC Arc Anode Attachment4
Investigation of Rotating Arc-Catalytic Reactor for CF4 Removal with High Energy Efficiency4
Production of nitrogen oxides in a positive column of a glow-type discharge in air flow4
Plasma-Catalytic Ammonia Decomposition for Carbon-Free Hydrogen Production Using Low Pressure-Synthesized Mo2N Catalyst4
Selective Cytotoxicity of Lung Cancer Cells—A549 and H1299—Induced by Ringer's Lactate Solution Activated by a Non-thermal Air Plasma Jet Device, Nightingale®4
Effect of Gliding Arc Plasma-Induced UV Light During the Photo-Fenton Oxidation of 4-Chlorophenol in Aqueous Solution4
Study on the Insulation Performance and Decomposition Characteristics of C5F10O/CO2 Gas Mixture4
Plasma-Chemical Synthesis of Ytterbium Doped As–S Thin Films4
Special Issue of Plasma Chemistry and Plasma Processing Scale-Up of Plasma Reactors for Bio, Chemical, Environmental, Materials, and Energy Applications4
Radio Frequency Atmospheric Pressure Plasma Hydrogenated TiO2-x/Ni Foam as an Efficient Photocatalyst4
Metabolome Analysis of Selective Inactivation of Human Melanoma and Normal Cells by Cold Atmospheric Plasma4
Streamer-Based Discharge on Water–Air Interface as a Source of Plasma-Activated Water: Conceptual Design and Basic Performance4
Spheroidization of Tungsten Powder by a DC Arc Plasma Generator with Multiple Cathodes4
The Oxide Nanostructures Formation Mechanisms in Underwater Plasma in Terms of Electrochemistry4
Carbon Nanosheets Synthesis in a Gliding Arc Reactor: On the Reaction Routes and Process Parameters4
Spectrochemical Analysis of Ozone Density for Pulsed Plasma Discharge in Oxygen–Water Mixture3
Spontaneous Wrinkle Formation on Polydimethylsiloxane Using Plasma Immersion Ion Implantation: Influence of Ion Species and Pulse Frequency3
Analysis of the Thermal Decomposition Path of SF6 on the Surface of Cu and its Oxides3
Energy Intensified Nitrogen Fixation Through Fast Modulated Gas Discharge from Pyramid-shaped Micro-electrode3
Characterization of Non-Thermal Dielectric Barrier Discharges for Plasma Medicine: From Plastic Well Plates to Skin Surfaces3
SF6 Degradation in a γ-Al2O3 Packed DBD System: Effects of Hydration, Reactive Gases and Plasma-Induced Surface Charges3
Study of the Electron Density in an Inductively Coupled Plasma of Fluorine-Hydrogen-Argon Gas Mixture3
Comparison Study of Two Atmospheric Pressure Plasma Jet Configurations for Plasma-Catalyst Development3
The Effect of the Process Parameters on the Growth Rate and Composition of the Anti Scratch Films Deposited from TEOS by AP-PECVD on Polycarbonate3
Barrier Discharges in Science and Technology Since 2003: A Tribute and Update3
Plasma Nitrogen Fixation: NOx Synthesis in MnOx/Al2O3 Packed-Bed Dielectric Barrier Discharge3
Grid-Assisted Co-Sputtering Method: Background, Advancement, and Prospect3
Synthesis and Growth of Onion-Like Polyhedral Graphitic Nanocapsules by Thermal Plasma3
Thermal Decomposition Mechanism of Environmental-Friendly Insulating Gas C5F10O on Cu (1 1 1) Surface3
A RF plasma source with focused magnetic field for material treatment3
Experimental Investigation on High-Altitude Ignition and Ignition Enhancement by Multi-Channel Plasma Igniter3
Experimental Study on the Design and Characteristics of an Optimized Thermal Plasma Torch with Two Gas Injections3
The Influence of Gas–Liquid Interfacial Transport Theory on Numerical Modelling of Plasma Activation of Water3
Numerical Simulation and Experimental Investigation on Etching Process of Atmospheric Pressure Cold Plasma Jet with Shielding Gas3
Hydrodeoxygenation of o-Cresol Over Mo2C Modified by O2 Plasma3
Generation of Multiple Jet Capillaries in Advanced Dielectric Barrier Discharge for Large-Scale Plasma Jets3
Amelioration in the Detection of Chlorine Using Electric Field Assisted LIBS3
Rapid Atmospheric Pressure Ambient Air Plasma Functionalization of Poly(styrene) and Poly(ethersulfone) Foils3
Isotope Tracing Experiment on the Mechanism of O2 on the Over-Thermal Decomposition of SF63
Low Temperature Plasma Treatment of Rat Blood is Accompanied by Platelet Aggregation3
Promoting Plasma Photocatalytic Oxidation of Toluene Via the Construction of Porous Ag–CeO2/TiO2 Photocatalyst with Highly Active Ag/oxide Interface3
Activation of Au–Ag Plasmonic Bimetallic Nanocatalysts with Cold Plasma: The Role of Loading Sequence of Plasmonic Metals and Discharge Atmosphere3
Theoretical Kinetics Investigation of Krypton Dielectric Barrier Discharge for UV Lamp3
Synthesis and Characteristics of Graphene–Graphene Oxide Material Obtained by an Underwater Impulse Direct Current Discharge3
Enhancement of Shelf Life of Citrus Limon L. (Lemon) Using Plasma Activated Water3
Optimization and Characterization of Modified Cold Grid Cathode Penning Ion Source3
The Multi-Hollow Surface Dielectric Barrier Discharge Usage for the Seeds' Treatment Aimed to the Dustiness Decrease of Free-Floating Particles from Agrochemicals2
Cr(VI) Reduction by Microsecond Pin-to-Pin Discharges Generated in an Aqueous Solution2
Evaluation of Virucidal Efficacy of Cold Plasma on Bacteriophage Inside a Three-Layered Sterilization Chamber2
Efficacy Comparison of Three Atmospheric Pressure Plasma Sources for Soybean Seed Treatment: Plasma Characteristics, Seed Properties, Germination2
The Dielectric Barrier Discharge and the Start of a Beautiful Friendship: Personal Remembrance of Dr. Ulrich Kogelschatz2
Carbon Tetrafluoride, Oxygen, and Air RF Plasma Modified Low-Density Polyethylene and Polydimethylsiloxane2
Destruction of 2,4-Dichlorophenol in Water Solution Using a Combined Process of Sorption and Plasma Exposure to DBD2
Effects of H2O and O2 Impurities on the Trichel Pulses Characteristics of the Negative Point-Plane Corona Discharge in SF62
Ignition Process of Diesel Spray Based on Behavior of Rotating Gliding Arc in Plasma Reformer2
CO2 Dissociation in Barrier Corona Discharges: Effect of Elevated Pressures in CO2/Ar Mixtures2
A Novel Radio-Frequency Inductively Coupled Plasma Torch for Material Processing2
Features of the FOX and Griess Method for Assessing the Biological Activity of Plasma Treated Solutions2
Theoretical Study on the Degradation Pathways of Unsymmetrical Dimethylhydrazine by Aqueous O32
Optical Emission Spectroscopy Study of Plasma-Precursor Interactions in TiO2 Suspension Plasma Spray2
Cold Atmospheric Plasma Elicits Neuroprotection Against Glutamate Excitotoxicity by Activating Cellular Antioxidant Defense2
Preparation of Water-Repellent Film on a Plastic Plate by Unbalanced Radio-Frequency Magnetron Plasma Sputtering Using PTFE Target for a Next-Generation Automobile Window2
Dielectric Barrier Discharge Plasma Deoxidation of Copper Surfaces in an Ar/SiH4 Atmosphere2
Successive Multi-microdischarges Occurring in Pin-to-Line Geometry of Dielectric Barrier Discharge2
Comparison of the Anticancer Effects of Pulsed Electric Field and He + O2 Plasma Jet2
Comparative Study of Influence of Experimental Configuration on Densities of Active Species in the Early Afterglows of N2/(0–2.5%)H2 HF Flowing Plasmas2
Boron Nitride Nanosheets Synthesis in Thermal Plasma: An Experimental and Modelling Analysis2
Ultrasonic-Combined Plasma Bubbling for Adherent Bacteria Disinfection on Medical Equipment2
Atomic-Oxygen Number Densities in Ar-O2 DBDs and Post-discharges with Small Initial O2 Fractions: Plug-Flow Model and Experiments2
Kinetic Investigation of Energy Synergy in the Pulsed Bipolar Plasma-Catalytic Reaction of Organic Waste Gases Over Mullite-Supported Perovskite Catalysts2
Cold Atmospheric Plasma as a Therapeutic Tool in Medicine and Dentistry2
The Effect Magnet Design on Controlling the Target Erosion Profile for DC Magnetron with the Rectangular Target2
Effect of Convergent-Divergent Nozzle on Fine Particle Velocity in Low-Pressure Induction Plasma Jet in Plasma-Assisted Aerosol Deposition2
Tubular Membrane-Like Catalysts for the Oxidative Decomposition of Low-Concentrated Toluene in Air by Periodic Short-Term Plasma Discharge2
Non-Oxidative Ethane Dehydrogenation in a Packed-Bed DBD Plasma Reactor2
Heat Transfer Mechanisms in Arcs of Various Gases at Atmospheric Pressure2
Scaling Down the Great Egypt Pyramids to Enhance CO2 Splitting in a Micro DBD Reactor2
High H-atom Density in R(He,Ar)/x%(N2–5%H2) Early Afterglows2
Multichannel Gas-Phase Unimolecular Decomposition Reaction of C5-Perfluorinated Ketone, C5-PFK: Theoretical Kinetics Studies2
Influence of Cold Plasma in Accelerating the Germination and Nutrient Composition of Foxtail Millet (Setaria italica L.)2
Production of Nickel by Cold Hydrogen Plasma: Role of Active Oxygen2
The High Temperature Vibrational Partition Function of Stretching of Triatomic Systems2
Chemical Kinetics of C5F10O with Reactive ·OH Radical Induced in AOP in Gaseous and Aqueous Phases2
Electron Density and Electron Temperature Control with a Magnetic Field and a Grid in Inductively Coupled Argon Plasma2
Enhancement of Ozone Synthesis via ZnO Coating for Hybrid Discharge in Pure Oxygen2
Determining Chemical Reaction Systems in Plasma-Assisted Conversion of Methane Using Genetic Algorithms2
Comparative Study of Influence of Simultaneous Modulation of Upper-Coil and Lower-Coil Currents on Silicon Nanoparticles Synthesized Using Tandem-Type Modulated Induction Thermal Plasmas2
Three-Dimensional Two-Temperature Modeling of Ar Loop-Type Inductively Coupled Thermal Plasma for Surface Modification2
Temporal Evolution and Operation Peculiarities of Plasma Source Based on Vacuum Arc with CeO2 Cathode2
Submerged Discharges in Liquids for Nanoobject Synthesis: Expectations and Capabilities2
Interaction of a Pulsed Nanosecond Discharge in Air in Contact with a Suspension of Crystalline Nanocellulose (CNC)2
Immobilized Microdischarges in Pulsed DBD Plasmas for Localized Deposition and Patterning of Polymer-Like Films2
Investigation of the Mechanisms Underpinning Plasma-Catalyst Interaction for the Conversion of Methane to Oxygenates2
Numerical Study of SF6/O2 Plasma Discharge for Etching Applications2
Study of Ablation Phenomena of Polymer Bulk Irradiated by Thermal Plasmas Using Induction Thermal Plasma Technique2
Recent Trends in Plasma-Assisted CO2 Methanation: A Critical Review of Recent Studies2
The Use of Cold Atmospheric Pressure Plasma for the Synthesis of Saccharide-Stabilized Re Nanostructures Enabling Effective Deactivation of Nitro-Based Antimicrobial Agents2
0.030861139297485