Integration-The VLSI Journal

Papers
(The median citation count of Integration-The VLSI Journal is 1. The table below lists those papers that are above that threshold based on CrossRef citation counts [max. 250 papers]. The publications cover those that have been published in the past four years, i.e., from 2020-11-01 to 2024-11-01.)
ArticleCitations
A new multi-scroll Chua’s circuit with composite hyperbolic tangent-cubic nonlinearity: Complex dynamics, Hardware implementation and Image encryption application87
A new adaptive selection strategy for reducing latency in networks on chip66
Review: Machine learning techniques in analog/RF integrated circuit design, synthesis, layout, and test64
Dynamics analysis, FPGA realization and image encryption application of a 5D memristive exponential hyperchaotic system49
A novel dual mode configurable and tunable high-gain, high-efficient CMOS power amplifier for 5G applications42
Vulnerable objects detection for autonomous driving: A review39
FPGA-based Physical Unclonable Functions: A comprehensive overview of theory and architectures36
Secure image encryption scheme using 4D-Hyperchaotic systems based reconfigurable pseudo-random number generator and S-Box35
MOS based pseudo-resistors exhibiting Tera Ohms of Incremental Resistance for biomedical applications: Analysis and proof of concept30
Design of hyperchaotic system based on multi-scroll and its encryption algorithm in color image29
Constructing keyed strong S-Box with higher nonlinearity based on 2D hyper chaotic map and algebraic operation26
A new 4D Memristor chaotic system: Analysis and implementation25
A two-directional grid multiscroll hidden attractor based on piecewise linear system and its application in pseudo-random bit generator23
Experimental verification of the multi-scroll chaotic attractors synchronization in PWL arbitrary-order systems using direct coupling and passivity-based control19
Cryptanalysis of nonlinear confusion component based encryption algorithm19
FPGA-based implementation of classification techniques: A survey18
Design automation for continuous-flow microfluidic biochips: A comprehensive review18
On malicious implants in PCBs throughout the supply chain18
On-board processing for autonomous drone racing: An overview17
An efficient image encryption scheme based on double affine substitution box and chaotic system17
Compact and efficient structure of 8-bit S-box for lightweight cryptography16
Real-time medical image encryption for H-IoT applications using improved sequences from chaotic maps16
Batch generating keyed strong S-Boxes with high nonlinearity using 2D hyper chaotic map15
RESET: A real-time scheduler for energy and temperature aware heterogeneous multi-core systems15
Hyperchaotic fractional Grassi–Miller map and its hardware implementation15
A Survey of FIR Filter Design Techniques: Low-complexity, Narrow Transition-band and Variable Bandwidth15
An efficient construction of S-box based on the fractional-order Rabinovich–Fabrikant chaotic system15
Approximate multipliers based on a novel unbiased approximate 4-2 compressor15
Electronically tunable third-order dual-mode quadrature sinusoidal oscillators employing VDCCs and all grounded components15
Realizations of fractional-order PID loop-shaping controller for mechatronic applications15
A memristive chaotic system with rich dynamical behavior and circuit implementation15
A novel current-controlled memristor-based chaotic circuit14
A Novel four - Wing chaotic system with multiple attractors based on hyperbolic sine: Application to image encryption*14
Accelerating Deep Convolutional Neural Network base on stochastic computing14
Multi-source data fusion technique for parametric fault diagnosis in analog circuits13
Design space exploration of low-power flip-flops in FinFET technology13
AI/ML algorithms and applications in VLSI design and technology13
New memristor-less, resistor-less, two-OTA based grounded and floating meminductor emulators and their applications in chaotic oscillators13
A four-dimensional chaotic system with coexisting attractors and its backstepping control and synchronization13
High-performance area-efficient polynomial ring processor for CRYSTALS-Kyber on FPGAs13
High-throughput and area-efficient architectures for image encryption using PRINCE cipher13
VLSI mask optimization: From shallow to deep learning13
Coexistence of infinite attractors in a fractional-order chaotic system with two nonlinear functions and its DSP implementation12
A novel memristive chaotic system without any equilibrium point12
Chaotic encryption of real-time ECG signal in embedded system for secure telemedicine12
A new hardware Trojan detection technique using deep convolutional neural network12
High-performance hardware architecture of a robust block-cipher algorithm based on different chaotic maps and DNA sequence encoding12
A chaotic PRNG tested with the heuristic Differential Evolution11
A non-autonomous chaotic system with no equilibrium11
WDP-BNN: Efficient wafer defect pattern classification via binarized neural network11
A new low-power Dynamic-GDI full adder in CNFET technology11
Emerging monolithic 3D integration: Opportunities and challenges from the computer system perspective11
Reliable and low power Negative Capacitance Junctionless FinFET based 6T SRAM cell10
Low power chaotic oscillator employing CMOS10
A low latency modular-level deeply integrated MFCC feature extraction architecture for speech recognition10
An effective watermarking technique using BTC and SVD for image authentication and quality recovery10
2.3–21 GHz broadband and high linearity distributed low noise amplifier10
Design of novel SMS4-BSK encryption transmission system10
Reveal the correlation between randomness and Lyapunov exponent of n-dimensional non-degenerate hyper chaotic map10
Power density aware application mapping in mesh-based network-on-chip architecture: An evolutionary multi-objective approach10
Mixed-radix, virtually scaling-free CORDIC algorithm based rotator for DSP applications10
Sizing of multi-stage Op Amps by combining design equations with the gm/ID method9
Color image encryption based on discrete memristor logistic map and DNA encoding8
Study of the dynamical behavior of an Ikeda-based map with a discrete memristor8
Simulated annealing assisted NSGA-III-based multi-objective analog IC sizing tool8
New lightweight Anti-SAT block design and obfuscation technique to thwart removal attack8
Quantization aware approximate multiplier and hardware accelerator for edge computing of deep learning applications8
Hardware implementation of a robust image cryptosystem using reversible cellular-automata rules and 3-D chaotic systems8
The Involution Tool for Accurate Digital Timing and Power Analysis8
Design and implementation of current mode circuit for digital modulation7
HDLBC: A lightweight block cipher with high diffusion7
A transformer with high coupling coefficient and small area based on TSV7
Novel tunable current feedback instrumentation amplifier based on BBFC OP-AMP for biomedical applications with low power and high CMRR7
A transparent virtual channel power gating method for on-chip network routers7
Experimental study of terrain coverage of an autonomous chaotic mobile robot7
A broadband MVDR beamforming core for ultrasound imaging7
Machine learning classification algorithm for VLSI test cost reduction7
A survey on machine learning-based routing for VLSI physical design7
FPGA-based parallel implementation to classify Hyperspectral images by using a Convolutional Neural Network7
An area and power efficient VLSI architecture for ECG feature extraction for wearable IoT healthcare applications7
Proposal and analysis of relative stability in mixed CNT bundle for sub-threshold interconnects7
Transmission synchronization of multiple memristor chaotic circuits via single input controller and its application in secure communication7
A fast piecewise image encryption scheme combining NC1DNSM and P-Box7
High speed VLSI architecture for improved region based active contour segmentation technique7
Design and application of CMOS active inductor in bandpass filter and VCO for reconfigurable RF front-end6
Convex optimization of random dynamic voltage and frequency scaling against power attacks6
An Enhanced Memetic Algorithm using SKB tree representation for fixed-outline and temperature driven non-slicing floorplanning6
Agile-AES: Implementation of configurable AES primitive with agile design approach6
Breaking LPA-resistant cryptographic circuits with principal component analysis6
Valid test pattern identification for VLSI adaptive test6
Neurochaos feature transformation for Machine Learning6
Building discrete maps with memristor and multiple nonlinear terms6
Dynamic analysis and FPGA implementation of a 5D multi-wing fractional-order memristive chaotic system with hidden attractors6
Construction of algebraic complex 9-bit lookup tables using non-chain-ring and its applications in data security6
Avoidance vs. repair: New approaches to increasing electromigration robustness in VLSI routing6
Hw/Sw Co-Design technique for 2D fast fourier transform algorithm on Zynq SoC6
An efficient multiple shortest augmenting paths algorithm for constructing high performance VLSI subarray6
Multicast-enabled network-on-chip routers leveraging partitioned allocation and switching6
An improved algorithm for accelerating reconfiguration of VLSI array6
An accelerated modulus-based matrix splitting iteration method for mixed-size cell circuits legalization6
VDTA and DO-CCII based incremental/decremental floating memductance/meminductance simulator: A novel realization6
Application driven routing for mesh based Network-on-Chip architectures6
A novel tunable gain CMOS buffer amplifier for large resistive loads6
Construction and implementation of discrete memristive hyperchaotic map with hidden attractors and self-excited attractors6
Hot-spot aware thermoelectric array based cooling for multicore processors6
Design of FIR filter ISOTA with the aid of genetic algorithm5
READ: A fixed restoring array based accuracy-configurable approximate divider for energy efficiency5
A new post-processing approach for improvement of nonlinearity property in substitution boxes5
A PVT aware differential delay circuit and its performance variation due to power supply noise5
Design and implementation of congestion aware router for network-on-chip5
An energy-efficient single-cycle RV32I microprocessor for edge computing applications5
DULBC: A dynamic ultra-lightweight block cipher with high-throughput5
Low-power content addressable memory design using two-layer P-N match-line control and sensing5
Invasive weed optimization based scheduling for digital microfluidic biochip operations5
Four-input-C-element-based multiple-node-upset-self-recoverable latch designs5
Statistical traffic pattern for mixed torus topology and pathfinder based traffic and thermal aware routing protocol on NoC5
A 0.2-V 1.2 nW 1-KS/s SAR ADC with a novel comparator structure for biomedical applications5
Integrated DC - DC converter design methodology for design cycle speed up5
A very low output resistance and wide-swing class-AB level-shifted folded flipped voltage follower cell5
A novel systolic array processor with dynamic dataflows5
Design of joint reconfigurable hybrid adder and subtractor using FinFET and GnrFET technologies5
Passivity-based non-fragile control of a class of uncertain fractional-order nonlinear systems5
A novel filter-bank architecture of 2D-FIR symmetry filters using LUT based multipliers5
Machine learning based fast and accurate High Level Synthesis design space exploration: From graph to synthesis5
OTA-C signal delay compensation circuit for transimpedance-mode audio signal processing systems5
A 1Gpixel 10FPS CMOS image sensor using pixel array high-speed readout technology5
A DRV-based bit selection method for SRAM PUF key generation and its impact on ECCs5
Secure access microcontroller system based on fingerprint template with hyperchaotic encryption5
Nonlinear analysis, circuit implementation, and application in image encryption of a four-dimensional multi-scroll hyper-chaotic system5
Efficient design of decimation filter using linear programming and its FPGA implementation5
Low power time-domain rail-to-rail comparator with a new delay element for ADC applications5
An improved heuristic technique for nearest neighbor realization of quantum circuits in 2D architecture5
Design and analysis of a flat gain and linear low noise amplifier using modified current reused structure with feedforward structure5
Design of highly reliable radiation hardened 10T SRAM cell for low voltage applications5
Real-time infrared small target detection network and accelerator design5
Custom NoC topology generation using Discrete Antlion Trapping Mechanism4
A double-node-upset completely tolerant CMOS latch design with extremely low cost for high-performance applications4
Quantitative comparison and performance evaluation of deep learning-based object detection models on edge computing devices4
Development of micro computer based mobile random number generator with an encryption application4
HashHeat: A hashing-based spatiotemporal filter for dynamic vision sensor4
The Levene test based-leakage assessment4
Litho-NeuralODE 2.0: Improving hotspot detection accuracy with advanced data augmentation, DCT-based features, and neural ordinary differential equations4
High-speed and low-cost carry select adders utilizing new optimized add-one circuit and multiplexer-based logic4
BDD-based synthesis approach for in-memory logic realization utilizing Memristor Aided loGIC (MAGIC)4
Enhanced FPGA implementation of Echo State Networks for chaotic time series prediction4
Graph-based STA for asynchronous controllers4
A novel approach to fractional-N PLLs generating ultra-fast low-noise chirps for FMCW radar4
Design of approximate Booth multipliers based on error compensation4
A self-control leakage-suppression block for low-power high-efficient static logic circuit design in 22 nm CMOS process4
Low-power and high-speed SRAM cells for double-node-upset recovery4
Efficient and low-cost approximate multipliers for image processing applications4
A high-performance convolution block oriented accelerator for MBConv-Based CNNs4
Research progress of time-interleaved analog-to-digital converters4
High quality hypergraph partitioning for logic emulation4
Lightweight encryption mechanism with discrete-time chaotic maps for Internet of Robotic Things4
Radiation-aware analog circuit design via fully-automated simulation environment4
Highly stable soft-error immune SRAM with multi-node upset recovery for aerospace applications4
Monolithic 3D stacked multiply-accumulate units4
A CMOS rectified linear unit operating in weak inversion for memristive neuromorphic circuits4
Investigating the influence of adiabatic load on the 4-phase adiabatic system design4
Chosen ciphertext correlation power analysis on Kyber4
B2N4
Mathematical analysis and circuit emulator design of the three-valued memristor4
A fast transient response current-feedback low-dropout regulator with dynamic current-enhancement technique4
Fluid-to-cell assignment and fluid loading on programmable microfluidic devices for bioprotocol execution4
Heterogenous ensemble learning driven multi-parametric assessment model for hardware Trojan detection4
An enhanced logistic chaotic map based tweakable speech encryption algorithm4
Picowatt 0.3-V MOS-only voltage reference based on a picoamp cascode current generator4
A hybrid method for signal probability and reliability estimation with combinational circuits4
Low voltage fully differential OTA using DTMOS based self cascode transistor with slew-rate enhancement and its filter application3
CAPUF: Design of a configurable circular arbiter PUF with enhanced security and hardware efficiency3
Novel fault tolerance topology using corvus seek algorithm for application specific NoC3
A functional block decomposition method for automatic op-amp design3
A passive and low-complexity Compressed Sensing architecture based on a charge-redistribution SAR ADC3
High-throughput architecture for post-quantum DME cryptosystem3
Comparison of two new chaos-based pseudorandom number generators implemented in microcontroller3
Chaos based speech encryption using microcontroller3
Introduction of a new technique for simultaneous reduction of the delay and leakage current in digital circuits3
Memristor-cascaded hopfield neural network with attractor scroll growth and STM32 hardware experiment3
Design-for-reliability and on-the-fly fault tolerance procedure for paper-based digital microfluidic biochips with multiple faults3
An energy efficient synthesis flow for application specific SoC design3
FRDS: An efficient unique on-Chip interconnection network architecture3
New low power and fast SEC-DAEC and SEC-DAEC-TAEC codes for memories in space application3
Improved thermal network modeling of die stacking DRAM and optimization3
A new three-dimensional conservative system with non - Hamiltonian energy and its synchronization application3
On circuit developments to enable large scale circuit design while computing with noise3
VLSI architecture design and implementation of 5/3 and 9/7 lifting Discrete Wavelet Transform3
Comparative evaluation of background-rejection techniques for SPAD-based LiDAR systems3
Area and power efficient hard multiple generator for radix-8 modulo 2  − 1 multiplier3
Efficient FPGA implementation of RNS Montgomery multiplication using balanced RNS bases3
Analysis of memristive maps with asymmetry3
High level synthesis strategies for ultra fast and low latency matrix inversion implementation for massive MIMO processing3
An ultra-wideband low noise amplifier with cascaded flipped-active inductor for cognitive radio applications3
Approximate digital-in analog-out multiplier with asymmetric nonvolatility and low energy consumption3
A low offset low power CMOS dynamic comparator for analog to digital converters3
Designing efficient FPGA tiles for power-constrained ultra-low-power applications3
Efficient hardware implementations of lightweight Simeck Cipher for resource-constrained applications3
Hardware architecture design for complementary ensemble empirical mode decomposition algorithm3
On the quadrature accuracy of in-phase coupled quadrature LC oscillator3
Design and implementation of low power Advanced Encryption Standard cryptocore utilizing dynamic pipelined asynchronous model3
Neural network 13
Resource allocation applied to flexible printed circuit routing based on constrained Delaunay triangulation3
DCNN search and accelerator co-design: Improve the adaptability between NAS frameworks and embedded platforms3
Second-order cascode-based filters3
An efficient NBTI-aware wake-up strategy: Concept, design, and manipulation3
A 2xVDD digital output buffer with gate driving stability and non-overlapping signaling control for slew-rate auto-adjustment using 16-nm FinFET CMOS process3
Grammar-based fuzz testing for microprocessor RTL design3
SAND-2: An optimized implementation of lightweight block cipher3
Synthesis of representative critical path circuits considering BEOL variations for deep sub-micron circuits3
A robust radiation resistant SRAM cell for space and military applications3
Exploring the dynamics of a multistable general model of discrete memristor-based map featuring an exponentially varying memristance3
Electronically tunable positive and negative fractional order inductor circuit using single topology3
A robust Euclidean metric based ID extraction method using RO-PUFs in FPGA3
Low delay non-binary error correction codes based on Orthogonal Latin Squares3
Delay based hardware Trojan detection exploiting spatial correlations to suppress variations3
Deep learning aided efficient yield analysis for multi-objective analog integrated circuit synthesis3
Electronic equivalent of a pump-modulated erbium-doped fiber laser3
30 GHz SiGe active inductor with voltage controlled Q2
Design of an ultra-wideband LNA using transformer matching method2
ProHys PUF: A Proteresis - Hysteresis switch based Physical Unclonable Function2
An ultra-wideband 6–14 GHz frequency modulated continuous wave primary radar with 3 cm range resolution2
A sequential strong PUF architecture based on reconfigurable neural networks (RNNs) against state-of-the-art modeling attacks2
Low power, high speed approximate multiplier for error resilient applications2
Stumped nature hyperjerk system with fractional order and exponential nonlinearity: Analog simulation, bifurcation analysis and cryptographic applications2
RECO-ASCON: Reconfigurable ASCON hash functions for IoT applications2
Generating pseudo-random numbers with a Brownian system2
COPRICSI: COnstraint-PRogrammed Initial Circuit SIzing2
A 20-Gb/s wideband AGC amplifier with 26-dB dynamic range in 0.18-μm SiGe BiCMOS2
DAFA: Dynamic approximate full adders for high area and energy efficiency2
Power-aware hold optimization for ASIC physical synthesis2
Improving the thermal reliability of photonic chiplets on multicore processors2
Time-domain writing architecture for multilevel RRAM cells resilient to temperature and process variations2
Partial evaluation based triple modular redundancy for single event upset mitigation2
High-performance multiply-accumulate unit by integrating binary carry select adder and counter-based modular wallace tree multiplier for embedding system2
A 85dB-SNDR 50 kHz bootstrapping-free resistor-less SC Delta-Sigma modulator IP block for PVT-robust low-power ADCs2
Edge computing design space exploration for heart rate monitoring2
Synchronization of fractional-order chaotic networks in Presnov form via homogeneous controllers2
An ant colony based mapping of quantum circuits to nearest neighbor architectures2
Analysis of a new three-dimensional jerk chaotic system with transient chaos and its adaptive backstepping synchronous control2
A fine-grained mixed precision DNN accelerator using a two-stage big–little core RISC-V MCU2
A novel class-E class-D doherty power amplifier based on past matching network with linearity region extension and flat output power2
Compact agile Tchebycheff transform variant for temporal compression of neural signals on brain-implantable microsystems2
An LA-group based design of the non-linear component of block cipher2
Efficient VLSI architecture of 3D discrete transformation2
A mathematical programming method for constructing the shortest interconnection VLSI arrays2
The study of TSV-induced and strained silicon-enhanced stress in 3D-ICs2
Robust power grid network design considering EM aging effects for multi-segment wires2
Inexact radix-4 Booth multipliers based on new partial product generation scheme for image multiplication2
A high-efficiency feedforward compensation method for capacitor-less LDO2
On reverse converters for arbitrary multi-moduli RNS2
0.049332857131958