Integration-The VLSI Journal

Papers
(The median citation count of Integration-The VLSI Journal is 1. The table below lists those papers that are above that threshold based on CrossRef citation counts [max. 250 papers]. The publications cover those that have been published in the past four years, i.e., from 2020-03-01 to 2024-03-01.)
ArticleCitations
A new multi-scroll Chua’s circuit with composite hyperbolic tangent-cubic nonlinearity: Complex dynamics, Hardware implementation and Image encryption application75
Defense-in-depth: A recipe for logic locking to prevail44
Review: Machine learning techniques in analog/RF integrated circuit design, synthesis, layout, and test42
A new adaptive selection strategy for reducing latency in networks on chip40
A novel dual mode configurable and tunable high-gain, high-efficient CMOS power amplifier for 5G applications37
Dynamics analysis, FPGA realization and image encryption application of a 5D memristive exponential hyperchaotic system34
A survey on fault injection methods of digital integrated circuits31
Vulnerable objects detection for autonomous driving: A review30
Secure image encryption scheme using 4D-Hyperchaotic systems based reconfigurable pseudo-random number generator and S-Box29
FPGA-based Physical Unclonable Functions: A comprehensive overview of theory and architectures28
MOS based pseudo-resistors exhibiting Tera Ohms of Incremental Resistance for biomedical applications: Analysis and proof of concept26
Assessment of STT-MRAMs based on double-barrier MTJs for cache applications by means of a device-to-system level simulation framework22
A two-directional grid multiscroll hidden attractor based on piecewise linear system and its application in pseudo-random bit generator20
Cryptanalysis of nonlinear confusion component based encryption algorithm18
A fast approach for bitcoin blockchain cryptocurrency mining system17
Design of hyperchaotic system based on multi-scroll and its encryption algorithm in color image17
A new 4D Memristor chaotic system: Analysis and implementation16
Experimental verification of the multi-scroll chaotic attractors synchronization in PWL arbitrary-order systems using direct coupling and passivity-based control16
FPGA implementation of high-performance, resource-efficient Radix-16 CORDIC rotator based FFT algorithm16
Verification of physical designs using an integrated reverse engineering flow for nanoscale technologies16
FPGA implementation of a chaotic oscillator with odd/even symmetry and its application16
An efficient memristor crossbar architecture for mapping Boolean functions using Binary Decision Diagrams (BDD)15
Realizations of fractional-order PID loop-shaping controller for mechatronic applications13
An efficient image encryption scheme based on double affine substitution box and chaotic system13
Constructing keyed strong S-Box with higher nonlinearity based on 2D hyper chaotic map and algebraic operation13
A comprehensive analysis on the resilience of adiabatic logic families against transient faults13
RESET: A real-time scheduler for energy and temperature aware heterogeneous multi-core systems13
Approximate multipliers based on a novel unbiased approximate 4-2 compressor13
FPGA-based implementation of classification techniques: A survey13
Electronically tunable third-order dual-mode quadrature sinusoidal oscillators employing VDCCs and all grounded components12
A Novel four - Wing chaotic system with multiple attractors based on hyperbolic sine: Application to image encryption*12
Compact and efficient structure of 8-bit S-box for lightweight cryptography12
Coexistence of infinite attractors in a fractional-order chaotic system with two nonlinear functions and its DSP implementation12
High-performance area-efficient polynomial ring processor for CRYSTALS-Kyber on FPGAs12
On malicious implants in PCBs throughout the supply chain12
A novel memristive chaotic system without any equilibrium point11
On-board processing for autonomous drone racing: An overview11
Hyperchaotic fractional Grassi–Miller map and its hardware implementation11
A bulk-driven quasi-floating gate FVF current mirror for low voltage, low power applications11
A Survey of FIR Filter Design Techniques: Low-complexity, Narrow Transition-band and Variable Bandwidth11
A memristive chaotic system with rich dynamical behavior and circuit implementation11
Accelerating Deep Convolutional Neural Network base on stochastic computing10
High-performance hardware architecture of a robust block-cipher algorithm based on different chaotic maps and DNA sequence encoding10
A low latency modular-level deeply integrated MFCC feature extraction architecture for speech recognition10
Analysis of SRAM metrics for data dependent BTI degradation and process variability10
Multi-source data fusion technique for parametric fault diagnosis in analog circuits10
A novel current-controlled memristor-based chaotic circuit10
Design of novel SMS4-BSK encryption transmission system10
Design automation for continuous-flow microfluidic biochips: A comprehensive review10
Power density aware application mapping in mesh-based network-on-chip architecture: An evolutionary multi-objective approach10
A robust and automated methodology for the analysis of Time-Dependent Variability at transistor level9
Mixed-radix, virtually scaling-free CORDIC algorithm based rotator for DSP applications9
Logarithm-approximate floating-point multiplier is applicable to power-efficient neural network training9
VLSI mask optimization: From shallow to deep learning9
Improving power analysis attack resistance using intrinsic noise in 3D ICs9
Low power chaotic oscillator employing CMOS9
A non-autonomous chaotic system with no equilibrium9
Machine learning and structural characteristics for reverse engineering9
Design space exploration of low-power flip-flops in FinFET technology9
A new hardware Trojan detection technique using deep convolutional neural network9
Real-time medical image encryption for H-IoT applications using improved sequences from chaotic maps9
New lightweight Anti-SAT block design and obfuscation technique to thwart removal attack8
An efficient background calibration technique for analog-to-digital converters based on neural network8
Methods increasing inherent resistance of ECC designs against horizontal attacks8
Flipped voltage follower based fourth order filter and its application to portable ECG acquisition system8
A transformer with high coupling coefficient and small area based on TSV7
High-throughput and area-efficient architectures for image encryption using PRINCE cipher7
A chaotic PRNG tested with the heuristic Differential Evolution7
Design of a real-time face detection architecture for heterogeneous systems-on-chips7
A formal model for proving hardware timing properties and identifying timing channels7
A new low-power Dynamic-GDI full adder in CNFET technology7
The Involution Tool for Accurate Digital Timing and Power Analysis7
A survey on machine learning-based routing for VLSI physical design7
An effective watermarking technique using BTC and SVD for image authentication and quality recovery7
Proposal and analysis of relative stability in mixed CNT bundle for sub-threshold interconnects7
New memristor-less, resistor-less, two-OTA based grounded and floating meminductor emulators and their applications in chaotic oscillators6
Efficient design of magnitude and 2's complement comparators6
WDP-BNN: Efficient wafer defect pattern classification via binarized neural network6
Implementation of pseudo-linear feedback shift register-based physical unclonable functions on silicon and sufficient Challenge–Response pair acquisition using Built-In Self-Test before shipping6
Experimental study of terrain coverage of an autonomous chaotic mobile robot6
Simulated annealing assisted NSGA-III-based multi-objective analog IC sizing tool6
A 0.3nV/√Hz input-referred-noise analog front-end for radiation-induced thermo-acoustic pulses6
A four-dimensional chaotic system with coexisting attractors and its backstepping control and synchronization6
Convex optimization of random dynamic voltage and frequency scaling against power attacks6
Quantization aware approximate multiplier and hardware accelerator for edge computing of deep learning applications6
Agile-AES: Implementation of configurable AES primitive with agile design approach6
On the superiority of modularity-based clustering for determining placement-relevant clusters6
Breaking LPA-resistant cryptographic circuits with principal component analysis6
Chaotic encryption of real-time ECG signal in embedded system for secure telemedicine6
Study of the dynamical behavior of an Ikeda-based map with a discrete memristor6
2.3–21 GHz broadband and high linearity distributed low noise amplifier6
An area and power efficient VLSI architecture for ECG feature extraction for wearable IoT healthcare applications6
Application driven routing for mesh based Network-on-Chip architectures6
Avoidance vs. repair: New approaches to increasing electromigration robustness in VLSI routing6
A broadband MVDR beamforming core for ultrasound imaging5
Batch generating keyed strong S-Boxes with high nonlinearity using 2D hyper chaotic map5
Novel gate-overlap tunnel FET based innovative ultra-low-power ternary flash ADC5
An improved algorithm for accelerating reconfiguration of VLSI array5
An Enhanced Memetic Algorithm using SKB tree representation for fixed-outline and temperature driven non-slicing floorplanning5
PV-MAC: Multiply-and-accumulate unit structure exploiting precision variability in on-device convolutional neural networks5
Emerging monolithic 3D integration: Opportunities and challenges from the computer system perspective5
An efficient multiple shortest augmenting paths algorithm for constructing high performance VLSI subarray5
Sizing of multi-stage Op Amps by combining design equations with the gm/ID method5
Machine learning classification algorithm for VLSI test cost reduction5
TRACK: An algorithm for fault-tolerant, dynamic and scalable 2D mesh network-on-chip routing reconfiguration5
Reliable and low power Negative Capacitance Junctionless FinFET based 6T SRAM cell5
High speed VLSI architecture for improved region based active contour segmentation technique5
A 0.6V 44.6 ppm/ºC subthreshold CMOS voltage reference with wide temperature range and inherent leakage compensation5
Invasive weed optimization based scheduling for digital microfluidic biochip operations5
Valid test pattern identification for VLSI adaptive test5
A new family of CMOS inverter-based OTAs for biomedical and healthcare applications5
Test patterns reordering method based on Gamma distribution5
Neurochaos feature transformation for Machine Learning5
Efficient design of decimation filter using linear programming and its FPGA implementation5
Passivity-based non-fragile control of a class of uncertain fractional-order nonlinear systems5
A novel tunable gain CMOS buffer amplifier for large resistive loads5
Low-power content addressable memory design using two-layer P-N match-line control and sensing4
An improved heuristic technique for nearest neighbor realization of quantum circuits in 2D architecture4
Design and analysis of a flat gain and linear low noise amplifier using modified current reused structure with feedforward structure4
Machine learning based fast and accurate High Level Synthesis design space exploration: From graph to synthesis4
Design of FIR filter ISOTA with the aid of genetic algorithm4
Investigating the influence of adiabatic load on the 4-phase adiabatic system design4
Statistical traffic pattern for mixed torus topology and pathfinder based traffic and thermal aware routing protocol on NoC4
Secure access microcontroller system based on fingerprint template with hyperchaotic encryption4
Research progress of time-interleaved analog-to-digital converters4
Fluid-to-cell assignment and fluid loading on programmable microfluidic devices for bioprotocol execution4
FPGA-based parallel implementation to classify Hyperspectral images by using a Convolutional Neural Network4
Hw/Sw Co-Design technique for 2D fast fourier transform algorithm on Zynq SoC4
Radiation-aware analog circuit design via fully-automated simulation environment4
Graph-based STA for asynchronous controllers4
Real-time infrared small target detection network and accelerator design4
Monolithic 3D stacked multiply-accumulate units4
PCoSA: A product error correction code for use in memory devices targeting space applications4
Novel tunable current feedback instrumentation amplifier based on BBFC OP-AMP for biomedical applications with low power and high CMRR4
Integrated DC - DC converter design methodology for design cycle speed up4
A very low output resistance and wide-swing class-AB level-shifted folded flipped voltage follower cell4
Design and implementation of current mode circuit for digital modulation4
An efficient and reliable MRF-based methodology for designing low-power VLSI circuits4
Litho-NeuralODE 2.0: Improving hotspot detection accuracy with advanced data augmentation, DCT-based features, and neural ordinary differential equations4
Design of highly reliable radiation hardened 10T SRAM cell for low voltage applications4
Transmission synchronization of multiple memristor chaotic circuits via single input controller and its application in secure communication4
Multicast-enabled network-on-chip routers leveraging partitioned allocation and switching4
A DRV-based bit selection method for SRAM PUF key generation and its impact on ECCs4
A PVT aware differential delay circuit and its performance variation due to power supply noise4
A fast transient response current-feedback low-dropout regulator with dynamic current-enhancement technique4
A transparent virtual channel power gating method for on-chip network routers4
A split-based fully digital feedforward background calibration technique for timing mismatch in TIADC3
Custom NoC topology generation using Discrete Antlion Trapping Mechanism3
Building discrete maps with memristor and multiple nonlinear terms3
Mathematical analysis and circuit emulator design of the three-valued memristor3
Designing efficient FPGA tiles for power-constrained ultra-low-power applications3
Picowatt 0.3-V MOS-only voltage reference based on a picoamp cascode current generator3
An optimal analytical solution for maximizing expected battery lifetime using the calculus of variations3
READ: A fixed restoring array based accuracy-configurable approximate divider for energy efficiency3
Development of micro computer based mobile random number generator with an encryption application3
Hardware implementation of a robust image cryptosystem using reversible cellular-automata rules and 3-D chaotic systems3
VDTA and DO-CCII based incremental/decremental floating memductance/meminductance simulator: A novel realization3
A novel systolic array processor with dynamic dataflows3
On circuit developments to enable large scale circuit design while computing with noise3
An accelerated modulus-based matrix splitting iteration method for mixed-size cell circuits legalization3
An innovative two-stage data compression scheme using adaptive block merging technique3
HashHeat: A hashing-based spatiotemporal filter for dynamic vision sensor3
DULBC: A dynamic ultra-lightweight block cipher with high-throughput3
New low power and fast SEC-DAEC and SEC-DAEC-TAEC codes for memories in space application3
A CMOS rectified linear unit operating in weak inversion for memristive neuromorphic circuits3
A double-node-upset completely tolerant CMOS latch design with extremely low cost for high-performance applications3
A functional block decomposition method for automatic op-amp design3
Low power time-domain rail-to-rail comparator with a new delay element for ADC applications3
Verification and revision of the power-down mode for hierarchical analog circuits3
Grammar-based fuzz testing for microprocessor RTL design3
Low voltage fully differential OTA using DTMOS based self cascode transistor with slew-rate enhancement and its filter application3
High-throughput architecture for post-quantum DME cryptosystem3
Improved thermal network modeling of die stacking DRAM and optimization3
A passive and low-complexity Compressed Sensing architecture based on a charge-redistribution SAR ADC3
Neural network 13
An energy efficient synthesis flow for application specific SoC design3
A self-control leakage-suppression block for low-power high-efficient static logic circuit design in 22 nm CMOS process3
An efficient NBTI-aware wake-up strategy: Concept, design, and manipulation3
Mixed-cell-height legalization considering complex minimum width constraints and half-row fragmentation effect3
An energy-efficient single-cycle RV32I microprocessor for edge computing applications3
Efficient FPGA implementation of RNS Montgomery multiplication using balanced RNS bases3
Complex exponential functions: A high-precision hardware realization3
A fast piecewise image encryption scheme combining NC1DNSM and P-Box2
Modeling and design of 3-D MPPT for ultra low power RF energy harvesters2
Power-aware hold optimization for ASIC physical synthesis2
Highly stable soft-error immune SRAM with multi-node upset recovery for aerospace applications2
A High Performance Early Acknowledged Asynchronous Pipeline using Hybrid-logic Encoding2
OPCoSA: an Optimized Product Code for space applications2
High-performance multiply-accumulate unit by integrating binary carry select adder and counter-based modular wallace tree multiplier for embedding system2
Fast algorithms for test optimization of core based 3D SoC2
Novel fault tolerance topology using corvus seek algorithm for application specific NoC2
Heterogenous ensemble learning driven multi-parametric assessment model for hardware Trojan detection2
A precision programmable multilevel voltage output and low-temperature-variation CMOS bandgap reference with area-efficient transistor-array layout2
An ultra-wideband 6–14 GHz frequency modulated continuous wave primary radar with 3 cm range resolution2
DCNN search and accelerator co-design: Improve the adaptability between NAS frameworks and embedded platforms2
The synthesis method of logic circuits based on the iMemComp gates2
An efficient construction of S-box based on the fractional-order Rabinovich–Fabrikant chaotic system2
Synthesis of representative critical path circuits considering BEOL variations for deep sub-micron circuits2
COPRICSI: COnstraint-PRogrammed Initial Circuit SIzing2
The study of TSV-induced and strained silicon-enhanced stress in 3D-ICs2
A high-efficiency feedforward compensation method for capacitor-less LDO2
Chosen ciphertext correlation power analysis on Kyber2
Design of a low-power CMOS transceiver for semi-passive wireless sensor network application2
A new realization scheme for dynamic PFSCL style2
A high-performance convolution block oriented accelerator for MBConv-Based CNNs2
A hybrid method for signal probability and reliability estimation with combinational circuits2
A sequential strong PUF architecture based on reconfigurable neural networks (RNNs) against state-of-the-art modeling attacks2
Efficient hardware implementations of lightweight Simeck Cipher for resource-constrained applications2
Boundary scan based interconnect testing design for silicon interposer in 2.5D ICs2
A Sub-1 V nanopower subthreshold current and voltage reference using current subtraction technique and cascoded active load2
A 0.2-V 1.2 nW 1-KS/s SAR ADC with a novel comparator structure for biomedical applications2
On the quadrature accuracy of in-phase coupled quadrature LC oscillator2
Design and implementation of SVM OTPC searching based on Shared Dot Product Matrix2
Introduction of a new technique for simultaneous reduction of the delay and leakage current in digital circuits2
An automated parallel simulation flow for cyber-physical system design2
Electronically tunable positive and negative fractional order inductor circuit using single topology2
A survey on attack vectors in stack cache memory2
Edge computing design space exploration for heart rate monitoring2
Low-power and high-speed SRAM cells for double-node-upset recovery2
High level synthesis strategies for ultra fast and low latency matrix inversion implementation for massive MIMO processing2
Design and application of CMOS active inductor in bandpass filter and VCO for reconfigurable RF front-end2
On reverse converters for arbitrary multi-moduli RNS2
Design of an ultra-wideband LNA using transformer matching method2
Deep learning aided efficient yield analysis for multi-objective analog integrated circuit synthesis2
Performance-aware predictive-model-based on-chip body-bias regulation strategy for an ULP multi-core cluster in 28 nm UTBB FD-SOI2
A CMOS transimpedance amplifier with broad-band and high gain based on negative Miller capacitance2
High-speed and low-cost carry select adders utilizing new optimized add-one circuit and multiplexer-based logic2
Resource allocation applied to flexible printed circuit routing based on constrained Delaunay triangulation2
A cellular automata guided two level obfuscation of Finite-State-Machine for IP protection2
A novel approach to fractional-N PLLs generating ultra-fast low-noise chirps for FMCW radar2
Synchronization of fractional-order chaotic networks in Presnov form via homogeneous controllers2
High quality hypergraph partitioning for logic emulation2
VLSI architecture design and implementation of 5/3 and 9/7 lifting Discrete Wavelet Transform2
A robust Euclidean metric based ID extraction method using RO-PUFs in FPGA2
Hot-spot aware thermoelectric array based cooling for multicore processors2
A novel filter-bank architecture of 2D-FIR symmetry filters using LUT based multipliers2
An ant colony based mapping of quantum circuits to nearest neighbor architectures2
Electronic equivalent of a pump-modulated erbium-doped fiber laser2
A 20-Gb/s wideband AGC amplifier with 26-dB dynamic range in 0.18-μm SiGe BiCMOS2
A 85dB-SNDR 50 kHz bootstrapping-free resistor-less SC Delta-Sigma modulator IP block for PVT-robust low-power ADCs1
A low offset low power CMOS dynamic comparator for analog to digital converters1
Design of an adaptive winner takes all circuit explaining features of binocular rivalry in visual brain1
Novel low leakage and energy efficient dual-pullup/dual-pulldown repeater1
Test and diagnosis pattern generation for distinguishing stuck-at faults and bridging faults1
A low-jitter and low-phase noise switched-loop filter PLL using fast phase-error correction and dual-edge phase comparison technique1
Low power, high speed approximate multiplier for error resilient applications1
Hardware architecture design for complementary ensemble empirical mode decomposition algorithm1
Robust power grid network design considering EM aging effects for multi-segment wires1
A highly-linear, sub-mW LNA at 2.4 GHz in 40 nm CMOS process1
Design-time exploration of voltage switching against power analysis attacks in 14 nm FinFET technology1
0.04153299331665