Microelectronics Reliability

Papers
(The TQCC of Microelectronics Reliability is 4. The table below lists those papers that are above that threshold based on CrossRef citation counts [max. 250 papers]. The publications cover those that have been published in the past four years, i.e., from 2021-02-01 to 2025-02-01.)
ArticleCitations
Analyzing the electrical response of Au-GaAs Schottky diodes to proton irradiation at room temperature67
Comprehensive investigation on different ions of geostationary orbit induced single event burnout in GaN HEMT power devices65
Design for reliability: Tradeoffs between lifetime and performance due to electromigration53
Multistate time lag dynamic Bayesian networks model for reliability prediction of smart meters42
Semi-empirical law for fatigue resistance of redistribution layers in chip-scale packages29
Improved failure analysis in scanning acoustic microscopy via advanced signal processing techniques25
An efficient thermal model of chiplet heterogeneous integration system for steady-state temperature prediction24
A method to improve the accuracy and efficiency for metallized-film capacitor's reliability assessment using joint simulation23
Expedient validation of LED reliability with anomaly detection through multi-output Gaussian process regression22
Synthesis and photoluminescence properties of Ce3+ and Tb3+ doped Na3Gd(PO4)2 phosphors for white LEDs22
Interdiffusion and formation of intermetallic compounds in high-temperature power electronics substrate joints fabricated by transient liquid phase bonding22
In-situ temperature-dependent characterization of copper through glass via (TGV)21
Calibration methods and power cycling of double-side cooled SiC MOSFET power modules21
A degradation model for separable electrical contacts based on the failure caused by surface oxide film21
Local capacitance-voltage profiling and high voltage stress effect study of SiO2/SiC structures by time-resolved scanning nonlinear dielectric microscopy20
Analysis of the stress state in QFN package during four point bending and temperature experiments utilizing piezoresistive stress sensor20
Performance prediction of current-voltage characteristics of Schottky diodes at low temperatures using artificial intelligence20
Design and power optimization of a QCA-based universal reversible logic gate architecture using cell interaction approach19
Co-relation scan attack analysis (COSAA) on AES: A comprehensive approach19
Lifetime reliability modeling on EMC performance of digital ICs influenced by the environmental and aging constraints: A case study19
Understanding board level vibrations in automotive electronic modules19
Research on thermal management of 3D-ICs assisted by deep learning19
Physical insights into the reliability of sunken source connected field plate GaN HEMTs for mm-wave applications18
Morphological characterization of 325 mesh-grinding-induced defects on silicon wafer surface18
A highly reliable radiation tolerant 13T SRAM cell for deep space applications17
Development of low temperature Cu Cu bonding and hybrid bonding for three-dimensional integrated circuits (3D IC)17
Charge deposition analysis of heavy-ion-induced single-event burnout in low-voltage power VDMOSFET16
Experimental identification and prioritization of design and process parameters on hole fill in mini wave soldering16
Fatigue performance and microstructure of lead-free solder joints in BGA assembly at room temperature16
Interface traps in the sub-3 nm technology node: A comprehensive analysis and benchmarking of negative capacitance FinFET and nanosheet FETs - A reliability perspective from device to circuit level16
An aging model for current DACs, and its application to analyzing lifetime degradation in a wireline equalizer15
Effect of microstructural variability on fatigue simulations of solder joints15
Die level predictive modeling to reduce latent reliability defect escapes15
Multi-scale in-situ micro-mechanical characterization of Polymer Core Solder Ball (PCSB) coatings for BGA interconnections15
500 μm heavy micro-alloyed Cu wire for IGBT application: The study on microstructure characteristics, electrical fatigue fracture mechanism and bonding reliability15
Influence of SiC chip thickness on the power cycling capability of power electronics assemblies – A comprehensive numerical study15
Study of the characteristics and growth of tin whiskers in orbit15
Influence of power cycling ageing on the current and voltage transitions during hard switching of IGBT devices14
Improving the reliability of power modules through layered diffusion solder interconnects – Comparative study based on experiments and FE-simulation14
Cross-scale numerical analysis of PCB lamination process by an innovative partitioned homogenization method for the non-uniform curing shrinkage effect14
The characterization of low-k thin films and their fracture analysis in a WLCSP device14
Accelerative reliability tests for Sn3.0Ag0.5Cu solder joints under thermal cycling coupling with current stressing14
A lifetime prediction approach for LED packages in paralleled under thermal-electronic coupling effects14
New reliability model for power SiC MOSFET technologies under static and dynamic gate stress14
Aging investigation of the latest standard dual power modules using improved interconnect technologies by power cycling test14
A novel Bayesian multivariate linear regression model for online state-of-health estimation of Lithium-ion battery using multiple health indicators14
Enlarged tensile strain at edge of flexible substrate due to anticlastic curvature13
Editorial Board13
Optical characterizations of “P-down” bonded InP pump lasers13
Long-term electrical stability of next generation LV Trench IGBT at Hitachi ABB Power Grids13
Influence of phosphorus diffusion on the SiO2/4H-SiC (0001) interface during poly gate formation process13
Single event effects of SiC diode demonstrated by pulsed-laser two photon absorption13
Ionizing radiation defects and reliability of Gallium Nitride-based III-V semiconductor devices: A comprehensive review13
Degradation in super-junction MOSFET under successive exposure of heavy ion strike and gamma ray irradiation13
Conducted EMI susceptibility analysis of a COTS processor as function of thermal cycling and overvoltage stresses13
Modeling of MEMS Electrothermal Microgripper employing POD-DEIM and POD method13
A Π-shaped p-GaN HEMT for reliable enhancement mode operation13
Accelerated aging for gate oxide of SiC MOSFETs under continuous switching conditions by applying advanced HTGB test12
Soft sensor design for estimation of thermal behavior of encapsulating materials in power electronic module12
A novel percolation model of leakage fluctuation behavior in gate-control dual-direction silicon controlled rectifier12
Interphase effect on the effective moisture diffusion in epoxy–SiO2 composites12
Investigating real-time control-flow error detection in hardware: How fast can we detect errors and take action?12
A novel in-situ approach to monitor the variations in the on-resistance of power transistors during switching operation11
Single event burnout failures caused in silicon and silicon carbide power devices by single alpha particles emitted from radioactive nuclides11
Editorial Board11
Early failure of high-power white LEDs for outdoor applications under extreme electrical stress: Role of silicone encapsulant11
Performance optimized approximate multiplier architecture ST-AxM - based on statistical analysis and static compensation11
Impact of aging on the SEU immunity of FinFET-based embedded memory systems11
Automated reliability calculation of failure rate, lifetime extrapolation and prediction for embedded Metal-Insulator-Metal capacitors using an optimized Time-Dependent-Dielectric-Breakdown model11
Influence of charge traps on charge plasma-germanium double-gate TFET for RF/Analog & low-power switching applications11
Investigation of edge computing hardware architectures processing tiny machine learning under neutron-induced radiation effects11
Investigating the solder mask defects impact on leakage current on PCB under condensing humidity conditions11
Editorial Board11
Total ionizing dose and single event effect response of 22 nm ultra-thin body and buried oxide fully depleted silicon-on-insulator technology11
A programmable checker for automated 2.5D/3D IC latch-up verification and hot junctions detection11
An improved crack probability model for silicon oxide layers using three-parameter Weibull analysis11
Editorial Board10
Support region of μ-law logarithmic quantizers for Laplacian source applied in neural networks10
Thermal aging impact on microstructure, creep and corrosion behavior of lead-free solder alloy (SAC387) use in electronics10
Cost-effective reliability enhancement for video stitching applications based on error-tolerance10
Memoryless linearity in undoped and B-doped graphene FETs: A relative investigation to report improved reliability10
Modeling of interface trap charges induced degradation in underlap DG and GAA MOSFETs10
Thickness and metallization layer effect on interfacial and vertical cracking of sintered silver layer: A numerical investigation10
Implementation of high failure current Schottky-embedded DDSCR10
Breakdown voltage and TDDB performance improvement by optimizing the PECVD dielectric film characteristics in MIM capacitors10
Observation of photoemission behaviour during avalanche breakdown of insulated gate bipolar transistor with defect in the metal contact10
Boosting the thermal stability of paralleled GaAs HBTs through temperature-dependent ballasting resistors: A proof-of-concept study10
The effect of low temperature conditions on vibration durability of SAC105 interconnects10
Single-event burnout resilient design of 4H-SiC MOSFETs through staircase-like buffer layer10
A theoretical investigation of mole fraction-based N+10
Influence of post-bonding heating process on the long-term reliability of Cu/Al contact10
Numerical investigation of solder joint shape for micro-spring package during vacuum vapor phase soldering10
Editorial Board9
Dynamic EFI and circuit analysis case studies on integrated circuit buried via void defects9
Editorial Board9
The investigation of current condition mechanism of Al/Y2O3/p-Si Schottky barrier diodes in wide range temperature and illuminate9
Editorial Board9
Rolling reliability of polyurethane and polyurethane-acrylic ICAs interconnections on printed stretchable electronics9
Study on annealing effect of bipolar transistors at different temperatures after total dose irradiation9
Enhanced size effects on shear performance and fracture behavior of BGA structure micro-scale Cu/Sn–3.0Ag–0.5Cu/Cu joints at low temperatures9
Verification of creep properties and rupture lifetime evaluation methods using small diameter Sn-3.0Ag-0.5Cu/Sn-58Bi/Sn-5Sb specimens9
Functional cyclic bending test for integrated inductors on flexible Kapton substrate9
Prediction of the void formation in no-flow underfill process using machine learning-based algorithm9
EEPROM endurance degradation at different temperatures: State of the art TCAD simulation9
A statistical study into reliability of FPGA implemented circuits: Simulation and modelling9
Mobile ions entering the IGBT gate oxide - electrical detection and failure localization by lock-in thermography9
High temperature reliability of pressureless sintered Cu joints for power SiC die attachment9
3D process simulation-assisted device failure analysis with virtual defect injection in IC layout9
Hang features of microcontroller exposed to pulsed ionizing radiation9
A comprehensive framework for cell-aware diagnosis of customer returns9
Editorial Board9
Failure analysis on capacitor failures using simple circuit edit passive voltage contrast method9
Residual strain around a through-silicon via9
Advanced low damage manufacturing processes to fabricate SOI FinFETs and measurement of electrical properties9
A novel online 4-point rainflow counting algorithm for power electronics9
Investigation of the role of pre-existing oxide in the initial degradation mechanism in AlGaN/GaN HEMTs under ON-state stress9
Time-dependent statistical NBTI model for aging assessment in circuit level implemented with open model interface9
Reduced length redundancy adaptive protection for the cascaded integrator-comb interpolation filter on FPGA9
Elucidating the large variation in ion diffusivity of microelectronic packaging materials9
High complexity reliable space applications in commercial microprocessors8
Study on formation mechanism of different differential resistance branches at avalanche breakdown curve of SGT-MOSFET8
Embedded systems and printed circuit boards as weak spots in HV-H3TRB tests8
Design optimization of a packaged thermoelectric generator for electrically active implants8
The integrated fast short-circuit protection technique with soft turn-off for SiC MOSFET8
Effect of high-temperature storage on the thermal conductivity of Cu nanoparticles/Bi-Sn hybrid bonding8
Sixer: A low-overhead, fully-distributed test scheme with guaranteed delivery of packets in networks-on-chip8
Impact of unpreventable induced interface trapped charges on HZO based FDSOI NCFET8
Reliability assessment of miniaturised electromechanical RF relays for space applications8
Effect of EVA discoloration in 25-year-old single crystalline silicon photovoltaic modules operated under moderate climate8
DNN-based error level prediction for reducing read latency in 3D NAND flash memory8
Thermo-mechanical-optical coupling within a digital twin development for automotive LiDAR8
Squeeze-out and bond strength of patterned Cu Sn SLID seal-frames8
Generating and characterizing condensation phenomena in power modules8
A cost-effective repair scheme for clustered TSV defects in 3D ICs8
Editorial Board8
Effect of thermal loading definitions on the mission profile-based reliability evaluation of power devices in PV inverters8
Correlative multimodal imaging and targeted lasering for automated high-precision IC decapsulation8
Self-heating temperature measurement in AlInN/GaN HEMTs by using CeO2 and TiO2 micro-Raman thermometers8
Creep-fatigue lifetime estimation of efficient photovoltaic module ribbon interconnections8
Impact of place and route strategy on FPGA electromagnetic emission8
Quantitative analysis of void initiation in thermo-mechanical fatigue of polycrystalline copper films8
Cryogenic-temperature investigation of negative bias stress inducing threshold voltage instabilities on 4H-SiC MOSFETs7
Threshold voltage shift model for p-GaN gate enhancement mode HEMT7
Passivation-layer thickness and field-plate optimization to obtain high breakdown voltage in AlGaN/GaN HEMTs with short gate-to-drain distance7
Study on the high-temperature triggering and holding characteristics of PDSOI SCR devices7
Analysis of the viscoplastic behavior of Pb-free solder using lap shear joints7
A novel p-GaN HEMT with AlInN/AlN/GaN double heterostructure and InAlGaN back-barrier7
Modeling of the impact of mechanical stress resulted from wafer probing and wire bonding on circuit under pad7
Effects of humidity, ionic contaminations and temperature on the degradation of silicone-based sealing materials used in microelectronics7
Effect of Al and Bi addition on the corrosion behaviour, hardness, and melting temperature of lead-free solder alloys7
Multi-physics coupling analysis of high-power IGBT module bonding wires fault considering stray inductance of main circuit7
Warpage characterization of a large size fan-out panel subjected to inhomogeneous heating by a digital fringe projection system7
Application of N parallel-connected SiC MOSFETs to solid-state circuit breakers based on UIS tests7
Thermo-mechanical modeling of stacked die flash memory package EMI shielding layer crack under thermal cycling test7
Optimization of Ag-alloy ribbon bonding — An approach to reliable interconnection for high power IC packaging7
Scaling-friendly approaches to minimize the magnitude and asymmetry of wafer warpage during 3-D NAND fabrication7
SiC Super-Junction MOSFET robustness assessment and method to improve avalanche capability7
Characterization of electrically stressed power device metallization using nano-CT imaging7
A unified model for TCAD simulation of the charge generated in semiconductors by low-energy alpha particles and protons7
Effects of Ga alloying on microstructure and comprehensive performances of Sn–9Zn–2Bi alloys for the microelectronics industry7
Displacement damage and single event effects of SiC diodes and MOSFETs by neutron, heavy ions and pulsed laser7
PS-BBICS: Pulse stretching bulk built-in current sensor for on-chip measurement of single event transients7
Lifetime prediction for press pack IGBT device by considering fretting wear failure7
A comparative radiation analysis of reconfigurable memory technologies: FinFET versus bulk CMOS7
Modeling the electrical characteristic of InGaN/GaN blue-violet LED structure under electrical stress7
Simulation assessment of solder joint reliability for fully assembled printed circuit boards7
Effect of thermal cycling on microstructure and mechanical properties of nano-silver microsolder joint7
Designing a Deep Neural Network engine for LLC block reuse prediction to mitigate Soft Error in Multicore7
Trap-assisted degradation mechanisms in E-mode p-GaN power HEMT: A review7
Thermal characterization of GaN lateral power HEMTs on Si, SOI, and poly-AlN substrates7
Early detection of photovoltaic system inverter faults7
Comparing structures of two-dimensional error correction codes6
Influence of Interface Traps on MOSFET thermal coefficients and its effects on the ZTC current6
Investigation of microstructure, thermal properties, and mechanical performances of Ni-added Sn-5.0Sb-0.5Cu/Cu solder joints6
Study on the properties of epoxy-based Sn 58Bi solder joints6
Experimental study of total ionizing dose effect on SiC MOSFETs at temperature from −233 °C to 175 °C6
Effect of solder junction void variation in power semiconductor package on power cycle lifetime6
Effects of rare earth Ce addition on the microstructure and shear property of Cu/In-50Ag/Cu composite solder joint6
Short-circuit protection scheme with efficient soft turn-off for power modules6
Interface trap charge modeling of surrounding gate-engineered tubular channel junctionless MOSFET exploring temperature induced variations6
DC and RF/analog performances of split source horizontal pocket and hetero stack TFETs considering interface trap charges: A simulation study6
Reliability forecasting and Accelerated Lifetime Testing in advanced CMOS technologies6
Phase field study on the effect of roughness on interfacial intermetallic compounds of micro-solder joints under multifield coupling6
RF signals over field emission currents: A theoretical study for MEMS capacitive switches6
Effects of silver nano-particles and nano-wires on properties of electrically conductive adhesives6
Microstructural characterization of alloyed palladium coated copper wire under high temperature6
Experimental research on performance degradation of TSV microstructure under thermal cycling, vibration and electrical stress6
High-temperature integrated SiC MOSFET bi-directional switch in power-overlay technology6
A remaining useful life prediction method of aluminum electrolytic capacitor based on wiener process and similarity measurement6
Memoryless nonlinearity in IT JL FinFET with spacer technology: Investigation towards reliability6
Thermal stress and drop stress analysis based on 3D package reliability study6
Influence of the size and the material of the magnetic core on thermal properties of the inductor6
Effect of filer content on the thermal characteristics of underfill materials for Ball-Grid-Array component package6
Modelling thermomechanical degradation of moulded electronic packages using physics-based digital twin6
Thermo-mechanical reliability of glass substrate and Through Glass Vias (TGV): A comprehensive review6
Preparation of silver nanopowders and its application in low temperature electrically conductive adhesive6
Impact of interface trap charges on electrical performance characteristics of a source pocket engineered Ge/Si heterojunction vertical TFET with HfO2/Al2O3 laterally stacked gate oxide6
Testing single via related defects in digital VLSI designs6
Optimal read voltages decision scheme eliminating read retry operations for 3D NAND flash memories6
Electrical deterioration of 4H-SiC MOS capacitors due to bulk and interface traps induced by proton irradiation6
Microstructure evolution and mechanical behavior of copper through‑silicon via structure under thermal cyclic loading6
Total ionizing dose radiation hardening technology based on double-charge multiple-step ion implantation6
Research on the damage mechanism of the PIN limiter diode SMP1330 under EMP6
Revisiting the effectiveness of diamond heat spreaders on multi-finger gate GaN HEMT using chip-to-package-level thermal simulation6
Effect of substrate thinning on heavy ion induced single event effect in silicon carbide power junction barrier Schottky diodes6
Corrosion and conductivity damage of AgNW transparent conductive thin films under a simulated sulfur-containing atmosphere and mechanical force6
Wear-out failure analysis of modular multilevel converter-based STATCOM: The role of the modulation strategy and IGBT blocking voltage6
Highly reliable Cu Cu low temperature bonding using SAC305 solder with rGO interlayer6
A humidity-induced novel failure mechanism in power semiconductor diodes6
New translation method to STC of photovoltaic module characteristics: A comparison of conventional approaches and proposal of a novel method6
Fault location method of IGBT short-circuit for a grid-tied Neutral-Point-Clamped inverter system5
Effect of high current pulses on solder interfacial reaction and interconnect reliability5
ECS an endeavor towards providing similar cache reliability behavior in different programs5
Signal integrity and heat transfer performance of through-boron nitride via5
Short term reliability and robustness of ultra-thin barrier, 110 nm-gate AlN/GaN HEMTs5
A testing method for evaluating shoot-through immunity of IGBTs in an inverter5
Effect of indentation depth and strain rate on mechanical properties of Sn0.3Ag0.7Cu5
Practical aspects of thermomechanical modeling in electronics packaging: A case study with a SiC power package5
Novel ESD device design for STT-MRAM memory chip5
Electro-thermal coupling and performance estimation of LEDs at system level5
Editorial Board5
Impact of proton-induced total ionizing dose effects on electrical characteristics and safe operating area of trench field-stop IGBT devices5
Power-aware test scheduling framework for IEEE 1687 multi-power domain networks using formal techniques5
A HCI-hardened self-healing operational amplifier circuit5
A study on memory characteristics of hybrid-based charge trap-type organic non-volatile memory device according to gate stack thickness5
The effect of oxide scaling on ionising radiation response of sense-switch flash cells5
SEE evaluation of ARM M0 cores in a 28 nm FDSOI technology5
Investigations on acceptable breakdown voltage variation of parallel-connected SiC MOSFETs applied to olid-state circuit breakers5
Single Event Transient tolerant Count Min Sketches5
Effects of multi-factors on the junction temperature of LED automotive lamp chips5
On the stochastic nature of conductive points formation and their effects on reliability of MoS2 RRAM: Experimental characterization and Monte Carlo simulation5
Editorial Board5
Repetitive short circuit capability of SiC MOSFET at specific low gate-source voltage bias for more robust extreme operation5
Impact of radiation-induced soft error on embedded cryptography algorithms5
Electrothermal power cycling of 15 kV SiC PiN diodes5
Impact of thermal interface material on power cycling lifetime of IGBT module5
Temperature-dependent electrical characteristics of neutron-irradiated GaN Schottky barrier diodes5
Recurrent neural networks model based reliability assessment of power semiconductors in PMSG converter5
Analysis of the transient dose rate effect on clock resources of JXCV5SX95T FPGA5
A comprehensive investigation of total ionizing dose effects on bulk FinFETs through TCAD simulation5
Die shear analyze of electrically conductive adhesives in GaN wafer application5
Modeling and optimization of OC fault diagnosis for inverters based on GR-PTA-BN5
Stability of wireless power transfer using gamma-ray irradiated GaN power HEMTs5
Design of analog nonlinear transformations based on a Gilbert multiplier for energy detection5
FEM analysis of a HF coreless transformer for automotive applications5
A comparative analysis of printed circuit drying methods for the reliability of assembly process5
Simulation of transient radiation upset in a 0.18-μm CMOS SRAM by accurately modeling a 6T memory cell5
Effect of indium content and carrier distribution on the efficiency and reliability of InGaN/GaN-based multi quantum well light emitting diode5
Reliable single-ended ultra-low power GNRFETs-based 9T SRAM cell with improved read and write operations5
Sub-10 MeV proton-induced single-event transients in 65 nm CMOS inverter chains5
0.11497712135315